# STDOUT: ---v---v---v---v---v--- ansible-playbook [core 2.16.0] config file = /etc/ansible/ansible.cfg configured module search path = ['/home/jenkins/.ansible/plugins/modules', '/usr/share/ansible/plugins/modules'] ansible python module location = /opt/ansible-2.16/lib/python3.11/site-packages/ansible ansible collection location = /WORKDIR/git-weekly-cirfhavtyt/.collection executable location = /opt/ansible-2.16/bin/ansible-playbook python version = 3.11.5 (main, Sep 7 2023, 00:00:00) [GCC 11.4.1 20230605 (Red Hat 11.4.1-2)] (/opt/ansible-2.16/bin/python) jinja version = 3.1.2 libyaml = True Using /etc/ansible/ansible.cfg as config file Skipping callback 'debug', as we already have a stdout callback. Skipping callback 'default', as we already have a stdout callback. Skipping callback 'minimal', as we already have a stdout callback. Skipping callback 'oneline', as we already have a stdout callback. PLAYBOOK: tests_combination.yml ************************************************ 1 plays in /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml PLAY [Combination test - test for (2 types of inputs) x (2 types of outputs) combination] *** TASK [Gathering Facts] ********************************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:2 Saturday 13 January 2024 08:50:56 +0000 (0:00:00.011) 0:00:00.011 ****** ok: [sut] TASK [Generate an input log dir /var/log/inputdirectory] *********************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:15 Saturday 13 January 2024 08:50:57 +0000 (0:00:00.828) 0:00:00.840 ****** changed: [sut] => { "changed": true, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/log/inputdirectory", "secontext": "unconfined_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [TEST CASE 0; Ensure that the role runs with complicated flows - M x N combinations] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:22 Saturday 13 January 2024 08:50:57 +0000 (0:00:00.228) 0:00:01.068 ****** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 13 January 2024 08:50:57 +0000 (0:00:00.028) 0:00:01.096 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_outputs | d([]) | selectattr('name', 'defined') | selectattr('type', 'defined') | selectattr('type', 'match', '^files$') | list | length == 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 13 January 2024 08:50:57 +0000 (0:00:00.029) 0:00:01.126 ****** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [ { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 13 January 2024 08:50:57 +0000 (0:00:00.026) 0:00:01.152 ****** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [ { "endmsg_regex": "xyz", "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" }, { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "name": "basic_input", "ratelimit_burst": 44444, "type": "basics" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.040) 0:00:01.193 ****** skipping: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.038) 0:00:01.231 ****** skipping: [sut] => (item={'name': 'flow_0', 'inputs': ['basic_input'], 'outputs': ['files_test0', 'files_test1', 'forwards_severity_and_facility', 'forwards_facility_only']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_0", "outputs": [ "files_test0", "files_test1", "forwards_severity_and_facility", "forwards_facility_only" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_1', 'inputs': ['files_input'], 'outputs': ['files_test0', 'files_test1']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "files_input" ], "name": "flow_1", "outputs": [ "files_test0", "files_test1" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.036) 0:00:01.267 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.031) 0:00:01.299 ****** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.022) 0:00:01.322 ****** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.022) 0:00:01.344 ****** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.023) 0:00:01.367 ****** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.019) 0:00:01.387 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.018) 0:00:01.406 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.018) 0:00:01.424 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.018) 0:00:01.443 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.018) 0:00:01.461 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.019) 0:00:01.480 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.019) 0:00:01.500 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.019) 0:00:01.520 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.018) 0:00:01.539 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.019) 0:00:01.558 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.028) 0:00:01.587 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.019) 0:00:01.606 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.031) 0:00:01.638 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.030) 0:00:01.669 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.030) 0:00:01.699 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.016) 0:00:01.716 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.050) 0:00:01.766 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.036) 0:00:01.802 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.021) 0:00:01.824 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.007) 0:00:01.831 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.008) 0:00:01.840 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.009) 0:00:01.849 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.008) 0:00:01.857 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.022) 0:00:01.880 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.048) 0:00:01.929 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.023) 0:00:01.953 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.025) 0:00:01.979 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.026) 0:00:02.006 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.034) 0:00:02.041 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.021) 0:00:02.062 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.021) 0:00:02.084 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.032) 0:00:02.116 ****** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.015) 0:00:02.131 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 13 January 2024 08:50:58 +0000 (0:00:00.012) 0:00:02.144 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.026) 0:00:02.171 ****** ok: [sut] => { "changed": false, "stat": { "exists": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.210) 0:00:02.381 ****** ok: [sut] => { "ansible_facts": { "__logging_is_ostree": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.017) 0:00:02.398 ****** ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.033) 0:00:02.431 ****** skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.051) 0:00:02.482 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.044) 0:00:02.527 ****** skipping: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "iproute", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "rsyslog", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.023) 0:00:02.550 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.016) 0:00:02.567 ****** ok: [sut] => { "changed": false, "rc": 0, "results": [ "iproute-4.11.0-30.el7.x86_64 providing iproute is already installed", "rsyslog-8.24.0-57.el7_9.3.x86_64 providing rsyslog is already installed" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 13 January 2024 08:50:59 +0000 (0:00:00.580) 0:00:03.147 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 13 January 2024 08:51:00 +0000 (0:00:00.030) 0:00:03.178 ****** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "beakerlib": [ { "arch": "noarch", "epoch": null, "name": "beakerlib", "release": "1.el7bkr", "source": "rpm", "version": "1.29.3" } ], "beakerlib-redhat": [ { "arch": "noarch", "epoch": null, "name": "beakerlib-redhat", "release": "33.el7bkr", "source": "rpm", "version": "1" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-init": [ { "arch": "x86_64", "epoch": null, "name": "cloud-init", "release": "24.el7", "source": "rpm", "version": "0.7.9" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhclient": [ { "arch": "x86_64", "epoch": 12, "name": "dhclient", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-network": [ { "arch": "x86_64", "epoch": null, "name": "dracut-network", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "initscripts": [ { "arch": "x86_64", "epoch": null, "name": "initscripts", "release": "1.el7_9.1", "source": "rpm", "version": "9.49.53" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "80.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "80.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "80.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "80.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd": [ { "arch": "x86_64", "epoch": null, "name": "kbd", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kexec-tools": [ { "arch": "x86_64", "epoch": null, "name": "kexec-tools", "release": "51.el7_9.3", "source": "rpm", "version": "2.0.15" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "80.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.16.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth": [ { "arch": "x86_64", "epoch": null, "name": "plymouth", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "plymouth-scripts": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-scripts", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.49" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2023c" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 13 January 2024 08:51:01 +0000 (0:00:01.551) 0:00:04.729 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 13 January 2024 08:51:01 +0000 (0:00:00.026) 0:00:04.756 ****** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 13 January 2024 08:51:01 +0000 (0:00:00.023) 0:00:04.779 ****** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 13 January 2024 08:51:01 +0000 (0:00:00.021) 0:00:04.801 ****** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 13 January 2024 08:51:01 +0000 (0:00:00.028) 0:00:04.829 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 13 January 2024 08:51:01 +0000 (0:00:00.160) 0:00:04.990 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 13 January 2024 08:51:01 +0000 (0:00:00.154) 0:00:05.144 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 13 January 2024 08:51:02 +0000 (0:00:00.202) 0:00:05.346 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 13 January 2024 08:51:02 +0000 (0:00:00.065) 0:00:05.412 ****** changed: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } changed: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.923) 0:00:06.335 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.031) 0:00:06.366 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.035) 0:00:06.402 ****** ok: [sut] => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log', 'endmsg_regex': 'xyz'}) => { "ansible_facts": { "__rsyslog_conf_imfile_modules": [ { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" } ], "__rsyslog_files_input_packages": [], "__rsyslog_files_input_rules": [ "{{ __rsyslog_conf_imfile_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "endmsg_regex": "xyz", "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } } ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" } } ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 44444}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 44444, "type": "basics" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.040) 0:00:06.442 ****** skipping: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 44444}) => { "ansible_loop": { "allitems": [ { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "name": "basic_input", "ratelimit_burst": 44444, "type": "basics" }, { "endmsg_regex": "xyz", "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } ], "first": false, "index": 2, "index0": 1, "last": false, "length": 3, "nextitem": { "endmsg_regex": "xyz", "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" }, "previtem": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, "revindex": 2, "revindex0": 1 }, "ansible_loop_var": "input_item", "changed": false, "false_condition": "input_item.type != \"basics\" or (input_item.type == \"basics\" and (ansible_loop.previtem is not defined or (ansible_loop.previtem is defined and ansible_loop.previtem.type != 'basics')))", "input_item": { "name": "basic_input", "ratelimit_burst": 44444, "type": "basics" }, "skip_reason": "Conditional result was False" } included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml for sut => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml for sut => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log', 'endmsg_regex': 'xyz'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update basics input packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:3 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.069) 0:00:06.512 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.048) 0:00:06.560 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.036) 0:00:06.597 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.035) 0:00:06.632 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.039) 0:00:06.671 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create basics input configuration file /etc/rsyslog.d/input-basics-basic_input] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:10 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.033) 0:00:06.705 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.048) 0:00:06.753 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:03 +0000 (0:00:00.027) 0:00:06.780 ****** changed: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.511) 0:00:07.292 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.029) 0:00:07.322 ****** skipping: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files input packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:3 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.113) 0:00:07.435 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.072) 0:00:07.508 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.040) 0:00:07.549 ****** changed: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.407) 0:00:07.956 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.031) 0:00:07.987 ****** skipping: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files input configuration file /etc/rsyslog.d/input-files-files_input] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:12 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.028) 0:00:08.016 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.043) 0:00:08.059 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:04 +0000 (0:00:00.027) 0:00:08.087 ****** changed: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n endmsg.regex="xyz"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:05 +0000 (0:00:00.473) 0:00:08.561 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:05 +0000 (0:00:00.032) 0:00:08.594 ****** skipping: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n endmsg.regex="xyz"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 13 January 2024 08:51:05 +0000 (0:00:00.092) 0:00:08.686 ****** ok: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" } } ok: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" } } ok: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" } } ok: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 13 January 2024 08:51:05 +0000 (0:00:00.052) 0:00:08.739 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 13 January 2024 08:51:05 +0000 (0:00:00.068) 0:00:08.808 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:05 +0000 (0:00:00.038) 0:00:08.846 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:05 +0000 (0:00:00.034) 0:00:08.880 ****** changed: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.412) 0:00:09.293 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.042) 0:00:09.335 ****** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test0] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.035) 0:00:09.371 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.053) 0:00:09.425 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.058) 0:00:09.483 ****** changed: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.456) 0:00:09.939 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.042) 0:00:09.982 ****** skipping: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.079) 0:00:10.062 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.060) 0:00:10.123 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:06 +0000 (0:00:00.028) 0:00:10.152 ****** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:07 +0000 (0:00:00.365) 0:00:10.517 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:07 +0000 (0:00:00.037) 0:00:10.555 ****** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 13 January 2024 08:51:07 +0000 (0:00:00.030) 0:00:10.585 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:07 +0000 (0:00:00.039) 0:00:10.625 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:07 +0000 (0:00:00.025) 0:00:10.651 ****** changed: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:07 +0000 (0:00:00.450) 0:00:11.101 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:07 +0000 (0:00:00.048) 0:00:11.150 ****** skipping: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 13 January 2024 08:51:08 +0000 (0:00:00.089) 0:00:11.239 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:08 +0000 (0:00:00.051) 0:00:11.291 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:08 +0000 (0:00:00.039) 0:00:11.330 ****** changed: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:08 +0000 (0:00:00.416) 0:00:11.747 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:08 +0000 (0:00:00.043) 0:00:11.790 ****** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_severity_and_facility] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 13 January 2024 08:51:08 +0000 (0:00:00.031) 0:00:11.822 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:08 +0000 (0:00:00.072) 0:00:11.894 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:08 +0000 (0:00:00.029) 0:00:11.923 ****** changed: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.8668063-2814-124355338703575/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.457) 0:00:12.381 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.8668063-2814-124355338703575/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.8668063-2814-124355338703575/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.041) 0:00:12.423 ****** skipping: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.069) 0:00:12.492 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.038) 0:00:12.530 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.027) 0:00:12.558 ****** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.376) 0:00:12.934 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.8668063-2814-124355338703575/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.8668063-2814-124355338703575/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.040) 0:00:12.975 ****** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_facility_only] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.030) 0:00:13.006 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.038) 0:00:13.045 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:09 +0000 (0:00:00.026) 0:00:13.072 ****** changed: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1705135870.0112488-2839-7340064957984/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.444) 0:00:13.517 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.3084168-2657-272503694585751/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135862.804236-2657-175408151291725/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135863.770784-2707-74822716404757/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135864.4491065-2722-212101821330050/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.0513704-2735-23836455269552/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135865.7801414-2750-270971484841878/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135866.4202821-2763-237932142181366/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135867.582772-2786-99420224309153/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.2360084-2801-80138628323697/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.8668063-2814-124355338703575/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135868.8668063-2814-124355338703575/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135870.0112488-2839-7340064957984/source", "unsafe_writes": false, "validate": null } }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1705135870.0112488-2839-7340064957984/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.042) 0:00:13.559 ****** skipping: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.089) 0:00:13.648 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.025) 0:00:13.674 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.031) 0:00:13.705 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.025) 0:00:13.731 ****** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.039) 0:00:13.771 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.061) 0:00:13.832 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.032) 0:00:13.864 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 13 January 2024 08:51:10 +0000 (0:00:00.033) 0:00:13.897 ****** ok: [sut] => { "changed": false, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:30:12 UTC", "ActiveEnterTimestampMonotonic": "19197301", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "basic.target network.target network-online.target system.slice", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:30:11 UTC", "AssertTimestampMonotonic": "18918917", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:30:11 UTC", "ConditionTimestampMonotonic": "18918915", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "1222", "ExecMainStartTimestamp": "Sat 2024-01-13 08:30:11 UTC", "ExecMainStartTimestampMonotonic": "18920682", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-01-13 08:30:11 UTC", "InactiveExitTimestampMonotonic": "18920721", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "1222", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:30:12 UTC", "WatchdogTimestampMonotonic": "19197075", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 13 January 2024 08:51:11 +0000 (0:00:00.458) 0:00:14.356 ****** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 13 January 2024 08:51:11 +0000 (0:00:00.026) 0:00:14.383 ****** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 13 January 2024 08:51:11 +0000 (0:00:00.026) 0:00:14.409 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_is_ostree | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 13 January 2024 08:51:11 +0000 (0:00:00.028) 0:00:14.438 ****** ok: [sut] => { "changed": false, "stat": { "atime": 1705134612.10948, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "c9638f934d9bd63f915871a44f22aa1968342884", "ctime": 1702395766.7179558, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 144726, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1642101032.0, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 3232, "uid": 0, "version": "1901301348", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 13 January 2024 08:51:11 +0000 (0:00:00.156) 0:00:14.594 ****** Notification for handler Restart rsyslogd has been saved. changed: [sut] => { "changed": true, "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "dest": "/etc/rsyslog.conf", "gid": 0, "group": "root", "md5sum": "06be1b21217661badf921ea6dcceb702", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 131, "src": "/root/.ansible/tmp/ansible-tmp-1705135871.4807076-2881-247831120815878/source", "state": "file", "uid": 0 } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:77 Saturday 13 January 2024 08:51:11 +0000 (0:00:00.422) 0:00:15.017 ****** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 13 January 2024 08:51:11 +0000 (0:00:00.016) 0:00:15.033 ****** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:30:12 UTC", "ActiveEnterTimestampMonotonic": "19197301", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "basic.target network.target network-online.target system.slice", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:30:11 UTC", "AssertTimestampMonotonic": "18918917", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:30:11 UTC", "ConditionTimestampMonotonic": "18918915", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "1222", "ExecMainStartTimestamp": "Sat 2024-01-13 08:30:11 UTC", "ExecMainStartTimestampMonotonic": "18920682", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-01-13 08:30:11 UTC", "InactiveExitTimestampMonotonic": "18920721", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "1222", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:30:12 UTC", "WatchdogTimestampMonotonic": "19197075", "WatchdogUSec": "0" } } TASK [Ensure config file size and counts] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:80 Saturday 13 January 2024 08:51:12 +0000 (0:00:00.283) 0:00:15.316 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml for sut TASK [Get info of /etc/rsyslog.conf] ******************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:2 Saturday 13 January 2024 08:51:12 +0000 (0:00:00.035) 0:00:15.352 ****** ok: [sut] => { "changed": false, "stat": { "atime": 1705135872.0903873, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1705135871.791393, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263383, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135871.6583958, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "18446744073545514960", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Get file counts in /etc/rsyslog.d] *************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:7 Saturday 13 January 2024 08:51:12 +0000 (0:00:00.144) 0:00:15.496 ****** ok: [sut] => { "changed": false, "examined": 13, "files": [ { "atime": 1705135872.0923872, "ctime": 1705135866.7344916, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135866.5984943, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135869.6044357, "ctime": 1705135868.5494561, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263380, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135868.4144588, "nlink": 1, "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 150, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135872.0923872, "ctime": 1705135867.888469, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263379, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135867.7544718, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 115, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135867.1854827, "ctime": 1705135866.0875041, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263377, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135865.9545066, "nlink": 1, "path": "/etc/rsyslog.d/10-output-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 151, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135872.0923872, "ctime": 1705135869.180444, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263381, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135869.0444465, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705134612.1544797, "ctime": 1702396045.5209558, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 169710, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1518646683.0, "nlink": 1, "path": "/etc/rsyslog.d/21-cloudinit.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 255, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135872.0923872, "ctime": 1705135870.3154218, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263382, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135870.1814244, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 280, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135872.0933871, "ctime": 1705135864.75553, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263375, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135864.6225326, "nlink": 1, "path": "/etc/rsyslog.d/10-input-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 118, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135872.0933871, "ctime": 1705135862.7295694, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 262515, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135862.497574, "nlink": 1, "path": "/etc/rsyslog.d/00-global.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 137, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135872.0933871, "ctime": 1705135863.1365616, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263373, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135862.9875646, "nlink": 1, "path": "/etc/rsyslog.d/05-common-defaults.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 96, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135872.0923872, "ctime": 1705135864.093543, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263374, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135863.9575455, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 871, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135872.0923872, "ctime": 1705135865.3615181, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263376, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135865.221521, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 286, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } ], "matched": 12, "skipped_paths": {} } MSG: All paths examined TASK [Check rsyslog.conf size (less)] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:13 Saturday 13 January 2024 08:51:12 +0000 (0:00:00.248) 0:00:15.745 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check rsyslog.conf size (more)] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:18 Saturday 13 January 2024 08:51:12 +0000 (0:00:00.028) 0:00:15.773 ****** skipping: [sut] => { "changed": false, "false_condition": "__conf_size == \"more\"", "skip_reason": "Conditional result was False" } TASK [Check file counts in rsyslog.d] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:23 Saturday 13 January 2024 08:51:12 +0000 (0:00:00.017) 0:00:15.791 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check conf files exist] ************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:27 Saturday 13 January 2024 08:51:12 +0000 (0:00:00.024) 0:00:15.815 ****** ok: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "stat": { "atime": 1705135872.0923872, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1705135866.7344916, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135866.5984943, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "18446744073545514898", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "stat": { "atime": 1705135872.0923872, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "ctime": 1705135869.180444, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263381, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135869.0444465, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "version": "18446744073545514930", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "stat": { "atime": 1705135872.0923872, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "ctime": 1705135865.3615181, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263376, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135865.221521, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 286, "uid": 0, "version": "18446744073545514882", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:35 Saturday 13 January 2024 08:51:13 +0000 (0:00:00.489) 0:00:16.305 ****** skipping: [sut] => { "changed": false, "false_condition": "__check_systemctl_status == \"true\"", "skip_reason": "Conditional result was False" } TASK [Check if the files config exists] **************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:91 Saturday 13 January 2024 08:51:13 +0000 (0:00:00.048) 0:00:16.354 ****** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1705135872.0923872, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1705135866.7344916, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135866.5984943, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "18446744073545514898", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check the filter and action in the files output config] ****************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:98 Saturday 13 January 2024 08:51:13 +0000 (0:00:00.159) 0:00:16.513 ****** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "\\*.info;authpriv.none;auth.none;cron.none;mail.none.*/var/log/messages", "/etc/rsyslog.d/30-output-files-files_test0.conf" ], "delta": "0:00:00.003620", "end": "2024-01-13 08:51:13.554370", "rc": 0, "start": "2024-01-13 08:51:13.550750" } STDOUT: *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages TASK [Ensure logger message is logged in a file] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:106 Saturday 13 January 2024 08:51:13 +0000 (0:00:00.223) 0:00:16.736 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/test_logger.yml for sut TASK [Run logger to generate a test log message] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/test_logger.yml:7 Saturday 13 January 2024 08:51:13 +0000 (0:00:00.066) 0:00:16.803 ****** ok: [sut] => { "changed": false, "cmd": [ "/bin/logger", "-i", "-p", "local6.info", "-t", "testTag0", "testMessage0" ], "delta": "0:00:00.004690", "end": "2024-01-13 08:51:13.772141", "rc": 0, "start": "2024-01-13 08:51:13.767451" } TASK [Check the test log message in /var/log/messages] ************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/test_logger.yml:13 Saturday 13 January 2024 08:51:13 +0000 (0:00:00.144) 0:00:16.948 ****** ok: [sut] => { "attempts": 1, "changed": false, "cmd": [ "/bin/grep", "testMessage0", "/var/log/messages" ], "delta": "0:00:00.005029", "end": "2024-01-13 08:51:13.921168", "rc": 0, "start": "2024-01-13 08:51:13.916139" } STDOUT: 2024-01-13T08:51:13.767668+00:00 1b334e4e-c870-48b5-b8bd-4669dfca6fe7 ansible-ansible.legacy.command: Invoked with executable=None _uses_shell=False expand_argument_vars=True strip_empty_ends=True _raw_params=/bin/logger -i -p local6.info -t testTag0 testMessage0 removes=None argv=None creates=None chdir=None stdin_add_newline=True stdin=None 2024-01-13T08:51:13.772090+00:00 1b334e4e-c870-48b5-b8bd-4669dfca6fe7 testTag0[12772]: testMessage0 2024-01-13T08:51:13.916344+00:00 1b334e4e-c870-48b5-b8bd-4669dfca6fe7 ansible-ansible.legacy.command: Invoked with executable=None _uses_shell=False expand_argument_vars=True strip_empty_ends=True _raw_params=/bin/grep testMessage0 /var/log/messages removes=None argv=None creates=None chdir=None stdin_add_newline=True stdin=None TASK [Generate a file to check severity_and_facility] ************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:112 Saturday 13 January 2024 08:51:13 +0000 (0:00:00.156) 0:00:17.105 ****** changed: [sut] => { "changed": true, "checksum": "e18160e11437f8394d8ed393cda52474627d5062", "dest": "/tmp/__testfile__", "gid": 0, "group": "root", "md5sum": "649f2ba09e9d17fea81dc05c7778a7cd", "mode": "0600", "owner": "root", "secontext": "unconfined_u:object_r:admin_home_t:s0", "size": 296, "src": "/root/.ansible/tmp/ansible-tmp-1705135873.9876642-3010-227398536734755/source", "state": "file", "uid": 0 } TASK [Check severity_and_facility] ********************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:131 Saturday 13 January 2024 08:51:14 +0000 (0:00:00.399) 0:00:17.504 ****** ok: [sut] => { "changed": false, "cmd": [ "diff", "-B", "/tmp/__testfile__", "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf" ], "delta": "0:00:00.005525", "end": "2024-01-13 08:51:14.469875", "rc": 0, "start": "2024-01-13 08:51:14.464350" } TASK [Check if the input files config exists] ********************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:135 Saturday 13 January 2024 08:51:14 +0000 (0:00:00.154) 0:00:17.658 ****** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1705135872.0923872, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "ctime": 1705135865.3615181, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263376, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135865.221521, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 286, "uid": 0, "version": "18446744073545514882", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check the input call with tag=files_input] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:141 Saturday 13 January 2024 08:51:14 +0000 (0:00:00.176) 0:00:17.835 ****** ok: [sut] => (item=type="imfile") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "type=\"imfile\"" } ok: [sut] => (item=file="/var/log/inputdirectory/*.log") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "file=\"/var/log/inputdirectory/*.log\"" } ok: [sut] => (item=tag="files_input") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "tag=\"files_input\"" } TASK [Create a test log file with a log message in /var/log/inputdirectory] **** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:155 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.493) 0:00:18.328 ****** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\necho '<167>Mar 20 01:00:00 11.22.33.44 tag msgnum:00000000:26:abcdefghijklmnopqrstuvwxyz' > /var/log/inputdirectory/test.log", "delta": "0:00:00.003983", "end": "2024-01-13 08:51:15.290418", "rc": 0, "start": "2024-01-13 08:51:15.286435" } TASK [Check the fake test log message in /var/log/messages] ******************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:161 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.137) 0:00:18.465 ****** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "files_input .*abcdefghijklmnopqrstuvwxyz$", "/var/log/messages" ], "delta": "0:00:00.003742", "end": "2024-01-13 08:51:15.450115", "rc": 0, "start": "2024-01-13 08:51:15.446373" } STDOUT: 2024-01-13T08:51:15.290290+00:00 1b334e4e-c870-48b5-b8bd-4669dfca6fe7 files_input <167>Mar 20 01:00:00 11.22.33.44 tag msgnum:00000000:26:abcdefghijklmnopqrstuvwxyz TASK [Create a test log file with a log message in the input which will not be logged due to the regex condition - input /var/log/inputdirectory] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:168 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.162) 0:00:18.628 ****** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\necho '<167>Jul 22 01:00:00 11.22.33.44 tag msgnum:00000000:24:test message 0123456789' > /var/log/inputdirectory/test.log", "delta": "0:00:00.003955", "end": "2024-01-13 08:51:15.590395", "rc": 0, "start": "2024-01-13 08:51:15.586440" } TASK [Check the fake second test log message is not in /var/log/messages] ****** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:177 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.162) 0:00:18.790 ****** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "files_input .*test message 0123456789$", "/var/log/messages" ], "delta": "0:00:00.003753", "end": "2024-01-13 08:51:15.756691", "failed_when_result": false, "rc": 1, "start": "2024-01-13 08:51:15.752938" } MSG: non-zero return code TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:185 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.147) 0:00:18.938 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:3 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.053) 0:00:18.991 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:13 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.028) 0:00:19.019 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:22 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.020) 0:00:19.040 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:34 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.023) 0:00:19.063 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:44 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.032) 0:00:19.096 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [END TEST CASE 0; Clean up the deployed config] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:188 Saturday 13 January 2024 08:51:15 +0000 (0:00:00.020) 0:00:19.117 ****** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.121) 0:00:19.239 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_inputs | d([])", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.069) 0:00:19.308 ****** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.045) 0:00:19.355 ****** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.073) 0:00:19.428 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.044) 0:00:19.473 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.020) 0:00:19.494 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.055) 0:00:19.550 ****** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.035) 0:00:19.586 ****** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.071) 0:00:19.657 ****** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.042) 0:00:19.700 ****** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.031) 0:00:19.731 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.037) 0:00:19.769 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.041) 0:00:19.810 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.043) 0:00:19.854 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.045) 0:00:19.900 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.058) 0:00:19.959 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.035) 0:00:19.994 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.079) 0:00:20.073 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 13 January 2024 08:51:16 +0000 (0:00:00.038) 0:00:20.112 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.053) 0:00:20.166 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.127) 0:00:20.293 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.032) 0:00:20.325 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.095) 0:00:20.421 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.040) 0:00:20.461 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.044) 0:00:20.506 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.037) 0:00:20.544 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.040) 0:00:20.585 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.087) 0:00:20.672 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.037) 0:00:20.723 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.040) 0:00:20.764 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.028) 0:00:20.792 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.032) 0:00:20.824 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.025) 0:00:20.850 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.045) 0:00:20.896 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.074) 0:00:20.970 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.060) 0:00:21.031 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 13 January 2024 08:51:17 +0000 (0:00:00.046) 0:00:21.078 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.077) 0:00:21.155 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.076) 0:00:21.232 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.032) 0:00:21.264 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.034) 0:00:21.299 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.031) 0:00:21.331 ****** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.056) 0:00:21.388 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.036) 0:00:21.424 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.048) 0:00:21.472 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.028) 0:00:21.501 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.047) 0:00:21.548 ****** ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.078) 0:00:21.627 ****** skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.098) 0:00:21.726 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 13 January 2024 08:51:18 +0000 (0:00:00.070) 0:00:21.796 ****** ok: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "iproute" ], "delta": "0:00:00.383384", "end": "2024-01-13 08:51:19.186323", "failed_when_result": false, "item": "iproute", "rc": 0, "start": "2024-01-13 08:51:18.802939" } ok: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "rsyslog" ], "delta": "0:00:00.142271", "end": "2024-01-13 08:51:19.451984", "failed_when_result": false, "item": "rsyslog", "rc": 1, "start": "2024-01-13 08:51:19.309713" } STDOUT: S.5....T. c /etc/rsyslog.conf MSG: non-zero return code TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 13 January 2024 08:51:19 +0000 (0:00:00.842) 0:00:22.638 ****** changed: [sut] => { "changed": true, "changes": { "removed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be erased\n--> Processing Dependency: iproute for package: cloud-init-0.7.9-24.el7.x86_64\n--> Processing Dependency: iproute for package: initscripts-9.49.53-1.el7_9.1.x86_64\n--> Processing Dependency: iproute for package: beakerlib-1.29.3-1.el7bkr.noarch\n--> Processing Dependency: iproute for package: 12:dhclient-4.2.5-83.el7.centos.1.x86_64\n--> Processing Dependency: iproute for package: dracut-network-033-572.el7.x86_64\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be erased\n--> Running transaction check\n---> Package beakerlib.noarch 0:1.29.3-1.el7bkr will be erased\n--> Processing Dependency: beakerlib >= 1.16-3 for package: beakerlib-redhat-1-33.el7bkr.noarch\n---> Package cloud-init.x86_64 0:0.7.9-24.el7 will be erased\n---> Package dhclient.x86_64 12:4.2.5-83.el7.centos.1 will be erased\n---> Package dracut-network.x86_64 0:033-572.el7 will be erased\n--> Processing Dependency: dracut-network >= 033-552 for package: kexec-tools-2.0.15-51.el7_9.3.x86_64\n---> Package initscripts.x86_64 0:9.49.53-1.el7_9.1 will be erased\n--> Processing Dependency: initscripts >= 5.86-1 for package: kbd-1.15.5-16.el7_9.x86_64\n--> Processing Dependency: initscripts >= 8.83-1 for package: plymouth-0.8.9-0.34.20140113.el7.centos.x86_64\n--> Running transaction check\n---> Package beakerlib-redhat.noarch 0:1-33.el7bkr will be erased\n---> Package kbd.x86_64 0:1.15.5-16.el7_9 will be erased\n---> Package kexec-tools.x86_64 0:2.0.15-51.el7_9.3 will be erased\n---> Package plymouth.x86_64 0:0.8.9-0.34.20140113.el7.centos will be erased\n--> Processing Dependency: plymouth for package: plymouth-scripts-0.8.9-0.34.20140113.el7.centos.x86_64\n--> Running transaction check\n---> Package plymouth-scripts.x86_64 0:0.8.9-0.34.20140113.el7.centos will be erased\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nRemoving:\n iproute x86_64 4.11.0-30.el7 @anaconda 1.8 M\n rsyslog x86_64 8.24.0-57.el7_9.3 @updates 1.9 M\nRemoving for dependencies:\n beakerlib noarch 1.29.3-1.el7bkr @beaker-harness 454 k\n beakerlib-redhat noarch 1-33.el7bkr @beaker-client 22 k\n cloud-init x86_64 0.7.9-24.el7 @/cloud-init.el7 2.1 M\n dhclient x86_64 12:4.2.5-83.el7.centos.1 @updates 475 k\n dracut-network x86_64 033-572.el7 @anaconda 146 k\n initscripts x86_64 9.49.53-1.el7_9.1 @updates 1.5 M\n kbd x86_64 1.15.5-16.el7_9 @updates 1.3 M\n kexec-tools x86_64 2.0.15-51.el7_9.3 @updates 770 k\n plymouth x86_64 0.8.9-0.34.20140113.el7.centos @anaconda 227 k\n plymouth-scripts x86_64 0.8.9-0.34.20140113.el7.centos @anaconda 22 k\n\nTransaction Summary\n================================================================================\nRemove 2 Packages (+10 Dependent packages)\n\nInstalled size: 11 M\nDownloading packages:\nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Erasing : beakerlib-redhat-1-33.el7bkr.noarch 1/12 \n Erasing : beakerlib-1.29.3-1.el7bkr.noarch 2/12 \n Erasing : cloud-init-0.7.9-24.el7.x86_64 3/12 \nwarning: /etc/cloud/cloud.cfg saved as /etc/cloud/cloud.cfg.rpmsave\n Erasing : plymouth-scripts-0.8.9-0.34.20140113.el7.centos.x86_64 4/12 \n Erasing : plymouth-0.8.9-0.34.20140113.el7.centos.x86_64 5/12 \n Erasing : kbd-1.15.5-16.el7_9.x86_64 6/12 \n Erasing : kexec-tools-2.0.15-51.el7_9.3.x86_64 7/12 \n Erasing : dracut-network-033-572.el7.x86_64 8/12 \n Erasing : 12:dhclient-4.2.5-83.el7.centos.1.x86_64 9/12 \n Erasing : initscripts-9.49.53-1.el7_9.1.x86_64 10/12 \nwarning: /etc/adjtime saved as /etc/adjtime.rpmsave\n Erasing : iproute-4.11.0-30.el7.x86_64 11/12 \n Erasing : rsyslog-8.24.0-57.el7_9.3.x86_64 12/12 \nwarning: /etc/rsyslog.conf saved as /etc/rsyslog.conf.rpmsave\n Verifying : kexec-tools-2.0.15-51.el7_9.3.x86_64 1/12 \n Verifying : initscripts-9.49.53-1.el7_9.1.x86_64 2/12 \n Verifying : beakerlib-1.29.3-1.el7bkr.noarch 3/12 \n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 4/12 \n Verifying : dracut-network-033-572.el7.x86_64 5/12 \n Verifying : 12:dhclient-4.2.5-83.el7.centos.1.x86_64 6/12 \n Verifying : plymouth-0.8.9-0.34.20140113.el7.centos.x86_64 7/12 \n Verifying : cloud-init-0.7.9-24.el7.x86_64 8/12 \n Verifying : plymouth-scripts-0.8.9-0.34.20140113.el7.centos.x86_64 9/12 \n Verifying : iproute-4.11.0-30.el7.x86_64 10/12 \n Verifying : kbd-1.15.5-16.el7_9.x86_64 11/12 \n Verifying : beakerlib-redhat-1-33.el7bkr.noarch 12/12 \n\nRemoved:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nDependency Removed:\n beakerlib.noarch 0:1.29.3-1.el7bkr \n beakerlib-redhat.noarch 0:1-33.el7bkr \n cloud-init.x86_64 0:0.7.9-24.el7 \n dhclient.x86_64 12:4.2.5-83.el7.centos.1 \n dracut-network.x86_64 0:033-572.el7 \n initscripts.x86_64 0:9.49.53-1.el7_9.1 \n kbd.x86_64 0:1.15.5-16.el7_9 \n kexec-tools.x86_64 0:2.0.15-51.el7_9.3 \n plymouth.x86_64 0:0.8.9-0.34.20140113.el7.centos \n plymouth-scripts.x86_64 0:0.8.9-0.34.20140113.el7.centos \n\nComplete!\n" ] } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 13 January 2024 08:51:23 +0000 (0:00:04.145) 0:00:26.784 ****** changed: [sut] => { "changed": true, "changes": { "installed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nLoading mirror speeds from cached hostfile\n * base: download.cf.centos.org\n * extras: download.cf.centos.org\n * updates: download.cf.centos.org\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be installed\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be installed\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nInstalling:\n iproute x86_64 4.11.0-30.el7 base 805 k\n rsyslog x86_64 8.24.0-57.el7_9.3 updates 622 k\n\nTransaction Summary\n================================================================================\nInstall 2 Packages\n\nTotal download size: 1.4 M\nInstalled size: 3.7 M\nDownloading packages:\n--------------------------------------------------------------------------------\nTotal 2.1 MB/s | 1.4 MB 00:00 \nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Installing : iproute-4.11.0-30.el7.x86_64 1/2 \n Installing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nInstalled:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 13 January 2024 08:51:28 +0000 (0:00:04.412) 0:00:31.196 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 13 January 2024 08:51:28 +0000 (0:00:00.018) 0:00:31.214 ****** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "80.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "80.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "80.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "80.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "80.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.16.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.49" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2023c" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 13 January 2024 08:51:29 +0000 (0:00:01.044) 0:00:32.258 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.025) 0:00:32.284 ****** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.023) 0:00:32.307 ****** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.020) 0:00:32.327 ****** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.025) 0:00:32.353 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.152) 0:00:32.506 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.150) 0:00:32.656 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.165) 0:00:32.822 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.071) 0:00:32.894 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.052) 0:00:32.947 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.046) 0:00:32.993 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.040) 0:00:33.034 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.032) 0:00:33.067 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.032) 0:00:33.099 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 13 January 2024 08:51:29 +0000 (0:00:00.038) 0:00:33.138 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 13 January 2024 08:51:30 +0000 (0:00:00.017) 0:00:33.156 ****** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\nfor conf in $( ls \"/etc/rsyslog.d\" ); do\n rstr=$( rpm -qf \"/etc/rsyslog.d/$conf\" 2>&1 || : )\n if [[ \"$rstr\" == *\"not owned by any package\"* ]]; then\n echo \"/etc/rsyslog.d/$conf\"\n fi\ndone\n", "delta": "0:00:00.368681", "end": "2024-01-13 08:51:30.490326", "failed_when_result": false, "rc": 0, "start": "2024-01-13 08:51:30.121645" } STDOUT: /etc/rsyslog.d/00-global.conf /etc/rsyslog.d/05-common-defaults.conf /etc/rsyslog.d/10-input-files-modules.conf /etc/rsyslog.d/10-output-files-modules.conf /etc/rsyslog.d/10-output-forwards-modules.conf /etc/rsyslog.d/30-output-files-files_test0.conf /etc/rsyslog.d/30-output-files-files_test1.conf /etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf /etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf /etc/rsyslog.d/90-input-basics-basic_input.conf /etc/rsyslog.d/90-input-files-files_input.conf TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 13 January 2024 08:51:30 +0000 (0:00:00.511) 0:00:33.667 ****** changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-forwards-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-forwards-modules.conf", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-input-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-input-files-modules.conf", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/05-common-defaults.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/05-common-defaults.conf", "path": "/etc/rsyslog.d/05-common-defaults.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-files-modules.conf", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-basics-basic_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test1.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test1.conf", "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/00-global.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/00-global.conf", "path": "/etc/rsyslog.d/00-global.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 13 January 2024 08:51:31 +0000 (0:00:01.406) 0:00:35.074 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 13 January 2024 08:51:31 +0000 (0:00:00.023) 0:00:35.098 ****** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 13 January 2024 08:51:31 +0000 (0:00:00.035) 0:00:35.134 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.029) 0:00:35.163 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.029) 0:00:35.193 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.065) 0:00:35.258 ****** changed: [sut] => { "changed": true, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestampMonotonic": "0", "ActiveExitTimestampMonotonic": "0", "ActiveState": "inactive", "After": "network.target network-online.target basic.target system.slice", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "no", "AssertTimestampMonotonic": "0", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "no", "ConditionTimestampMonotonic": "0", "Conflicts": "shutdown.target", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "0", "ExecMainStartTimestampMonotonic": "0", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestampMonotonic": "0", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "0", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "dead", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestampMonotonic": "0", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.239) 0:00:35.497 ****** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.034) 0:00:35.531 ****** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.034) 0:00:35.566 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.026) 0:00:35.592 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.029) 0:00:35.622 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:200 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.044) 0:00:35.667 ****** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.015) 0:00:35.682 ****** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ActiveEnterTimestampMonotonic": "1299354084", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "network.target network-online.target basic.target system.slice", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:51:32 UTC", "AssertTimestampMonotonic": "1299347385", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ConditionTimestampMonotonic": "1299347385", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "13259", "ExecMainStartTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ExecMainStartTimestampMonotonic": "1299348047", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:51:32 UTC] ; stop_time=[n/a] ; pid=13259 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-01-13 08:51:32 UTC", "InactiveExitTimestampMonotonic": "1299348095", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "13259", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:51:32 UTC", "WatchdogTimestampMonotonic": "1299354048", "WatchdogUSec": "0" } } TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:203 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.233) 0:00:35.915 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:3 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.038) 0:00:35.954 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:13 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.040) 0:00:35.994 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:22 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.017) 0:00:36.011 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:34 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.015) 0:00:36.027 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:44 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.032) 0:00:36.060 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [TEST CASE 1; Ensure that the role runs with complicated flows - N x M combinations] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:207 Saturday 13 January 2024 08:51:32 +0000 (0:00:00.025) 0:00:36.085 ****** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.105) 0:00:36.190 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_outputs | d([]) | selectattr('name', 'defined') | selectattr('type', 'defined') | selectattr('type', 'match', '^files$') | list | length == 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.041) 0:00:36.232 ****** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [ { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.035) 0:00:36.267 ****** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [ { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.109) 0:00:36.377 ****** skipping: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.047) 0:00:36.425 ****** skipping: [sut] => (item={'name': 'flow_0', 'inputs': ['basic_input', 'files_input'], 'outputs': ['files_test0']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input", "files_input" ], "name": "flow_0", "outputs": [ "files_test0" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_1', 'inputs': ['basic_input', 'files_input'], 'outputs': ['files_test1']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input", "files_input" ], "name": "flow_1", "outputs": [ "files_test1" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_2', 'inputs': ['basic_input'], 'outputs': ['forwards_severity_and_facility']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_2", "outputs": [ "forwards_severity_and_facility" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_3', 'inputs': ['basic_input'], 'outputs': ['forwards_facility_only']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_3", "outputs": [ "forwards_facility_only" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.062) 0:00:36.487 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.044) 0:00:36.532 ****** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.033) 0:00:36.565 ****** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.030) 0:00:36.596 ****** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.041) 0:00:36.637 ****** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.040) 0:00:36.677 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.032) 0:00:36.710 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.040) 0:00:36.750 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.031) 0:00:36.782 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.029) 0:00:36.812 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.031) 0:00:36.844 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.028) 0:00:36.872 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.029) 0:00:36.901 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.028) 0:00:36.930 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.029) 0:00:36.959 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.029) 0:00:36.989 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.028) 0:00:37.018 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.046) 0:00:37.064 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.060) 0:00:37.125 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 13 January 2024 08:51:33 +0000 (0:00:00.026) 0:00:37.151 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.028) 0:00:37.180 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.040) 0:00:37.220 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.050) 0:00:37.271 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.038) 0:00:37.309 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.015) 0:00:37.324 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.019) 0:00:37.344 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.015) 0:00:37.359 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.017) 0:00:37.377 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.028) 0:00:37.405 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.044) 0:00:37.450 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.033) 0:00:37.483 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.032) 0:00:37.516 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.029) 0:00:37.545 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.028) 0:00:37.574 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.029) 0:00:37.604 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.028) 0:00:37.632 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.033) 0:00:37.666 ****** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.071) 0:00:37.738 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.027) 0:00:37.765 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.029) 0:00:37.795 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.022) 0:00:37.818 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.021) 0:00:37.839 ****** ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.049) 0:00:37.889 ****** skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.066) 0:00:37.956 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.057) 0:00:38.014 ****** skipping: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "iproute", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "rsyslog", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.032) 0:00:38.047 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 13 January 2024 08:51:34 +0000 (0:00:00.044) 0:00:38.091 ****** ok: [sut] => { "changed": false, "rc": 0, "results": [ "iproute-4.11.0-30.el7.x86_64 providing iproute is already installed", "rsyslog-8.24.0-57.el7_9.3.x86_64 providing rsyslog is already installed" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 13 January 2024 08:51:35 +0000 (0:00:00.320) 0:00:38.412 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 13 January 2024 08:51:35 +0000 (0:00:00.021) 0:00:38.433 ****** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "80.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "80.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "80.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "80.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "80.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.16.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.49" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2023c" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.952) 0:00:39.385 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.026) 0:00:39.411 ****** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.024) 0:00:39.436 ****** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.018) 0:00:39.455 ****** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.024) 0:00:39.479 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.186) 0:00:39.666 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.160) 0:00:39.826 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.149) 0:00:39.976 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 13 January 2024 08:51:36 +0000 (0:00:00.081) 0:00:40.057 ****** changed: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } changed: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 13 January 2024 08:51:37 +0000 (0:00:00.808) 0:00:40.866 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 13 January 2024 08:51:37 +0000 (0:00:00.054) 0:00:40.921 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 13 January 2024 08:51:37 +0000 (0:00:00.040) 0:00:40.961 ****** ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" } } ok: [sut] => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log'}) => { "ansible_facts": { "__rsyslog_conf_imfile_modules": [ { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" } ], "__rsyslog_files_input_packages": [], "__rsyslog_files_input_rules": [ "{{ __rsyslog_conf_imfile_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 13 January 2024 08:51:37 +0000 (0:00:00.065) 0:00:41.027 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml for sut => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml for sut => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update basics input packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:3 Saturday 13 January 2024 08:51:37 +0000 (0:00:00.065) 0:00:41.093 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:37 +0000 (0:00:00.059) 0:00:41.153 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.030) 0:00:41.183 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.026) 0:00:41.210 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.050) 0:00:41.261 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create basics input configuration file /etc/rsyslog.d/input-basics-basic_input] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:10 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.039) 0:00:41.300 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.044) 0:00:41.344 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.029) 0:00:41.373 ****** changed: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.519) 0:00:41.893 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.035) 0:00:41.928 ****** skipping: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files input packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:3 Saturday 13 January 2024 08:51:38 +0000 (0:00:00.180) 0:00:42.108 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:39 +0000 (0:00:00.084) 0:00:42.193 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:39 +0000 (0:00:00.028) 0:00:42.221 ****** changed: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:39 +0000 (0:00:00.405) 0:00:42.627 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:39 +0000 (0:00:00.034) 0:00:42.661 ****** skipping: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files input configuration file /etc/rsyslog.d/input-files-files_input] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:12 Saturday 13 January 2024 08:51:39 +0000 (0:00:00.032) 0:00:42.694 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:39 +0000 (0:00:00.042) 0:00:42.736 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:39 +0000 (0:00:00.026) 0:00:42.763 ****** changed: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.493) 0:00:43.256 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.034) 0:00:43.290 ****** skipping: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.096) 0:00:43.386 ****** ok: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" } } ok: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" } } ok: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" } } ok: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.051) 0:00:43.438 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.068) 0:00:43.507 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.044) 0:00:43.552 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.028) 0:00:43.580 ****** changed: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.403) 0:00:43.984 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.039) 0:00:44.023 ****** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test0] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.033) 0:00:44.056 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:40 +0000 (0:00:00.087) 0:00:44.144 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:41 +0000 (0:00:00.029) 0:00:44.174 ****** changed: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:41 +0000 (0:00:00.480) 0:00:44.654 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:41 +0000 (0:00:00.049) 0:00:44.704 ****** skipping: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 13 January 2024 08:51:41 +0000 (0:00:00.097) 0:00:44.801 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:41 +0000 (0:00:00.063) 0:00:44.865 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:41 +0000 (0:00:00.034) 0:00:44.900 ****** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.375) 0:00:45.275 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.051) 0:00:45.327 ****** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.035) 0:00:45.362 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.055) 0:00:45.418 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.032) 0:00:45.451 ****** changed: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.455) 0:00:45.906 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.036) 0:00:45.943 ****** skipping: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.071) 0:00:46.015 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.037) 0:00:46.052 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:42 +0000 (0:00:00.027) 0:00:46.079 ****** changed: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:43 +0000 (0:00:00.380) 0:00:46.459 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:43 +0000 (0:00:00.037) 0:00:46.497 ****** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_severity_and_facility] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 13 January 2024 08:51:43 +0000 (0:00:00.064) 0:00:46.561 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:43 +0000 (0:00:00.040) 0:00:46.602 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:43 +0000 (0:00:00.025) 0:00:46.627 ****** changed: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135903.560573-4209-264034082824004/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:43 +0000 (0:00:00.420) 0:00:47.048 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135903.560573-4209-264034082824004/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135903.560573-4209-264034082824004/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:43 +0000 (0:00:00.038) 0:00:47.087 ****** skipping: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.073) 0:00:47.160 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.037) 0:00:47.197 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.025) 0:00:47.223 ****** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.342) 0:00:47.566 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135903.560573-4209-264034082824004/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135903.560573-4209-264034082824004/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.039) 0:00:47.606 ****** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_facility_only] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.030) 0:00:47.637 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.039) 0:00:47.676 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.026) 0:00:47.703 ****** changed: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1705135904.638227-4239-157940143313990/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:51:44 +0000 (0:00:00.415) 0:00:48.118 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135896.9774387-3895-101938034623220/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135897.3392768-3895-142289333705875/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135898.3827677-3978-90926679328460/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.1146698-4020-55059308443109/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135899.721941-4048-42261978342208/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135900.4819012-4080-37416035479430/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135901.1183972-4111-194488200167527/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.4010928-4169-141654615934416/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135902.9727209-4190-129886987873047/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135903.560573-4209-264034082824004/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135903.560573-4209-264034082824004/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135904.638227-4239-157940143313990/source", "unsafe_writes": false, "validate": null } }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1705135904.638227-4239-157940143313990/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.040) 0:00:48.159 ****** skipping: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.074) 0:00:48.234 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.025) 0:00:48.260 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.034) 0:00:48.294 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.024) 0:00:48.318 ****** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.043) 0:00:48.361 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.109) 0:00:48.471 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.033) 0:00:48.505 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.034) 0:00:48.539 ****** ok: [sut] => { "changed": false, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ActiveEnterTimestampMonotonic": "1299763095", "ActiveExitTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ActiveExitTimestampMonotonic": "1299754212", "ActiveState": "active", "After": "network.target network-online.target basic.target system.slice", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:51:32 UTC", "AssertTimestampMonotonic": "1299758115", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ConditionTimestampMonotonic": "1299758114", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "13275", "ExecMainStartTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ExecMainStartTimestampMonotonic": "1299758671", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:51:32 UTC] ; stop_time=[n/a] ; pid=13275 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-01-13 08:51:32 UTC", "InactiveEnterTimestampMonotonic": "1299757551", "InactiveExitTimestamp": "Sat 2024-01-13 08:51:32 UTC", "InactiveExitTimestampMonotonic": "1299758719", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "13275", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:51:32 UTC", "WatchdogTimestampMonotonic": "1299763031", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.222) 0:00:48.762 ****** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.028) 0:00:48.791 ****** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.025) 0:00:48.816 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_is_ostree | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.028) 0:00:48.844 ****** ok: [sut] => { "changed": false, "stat": { "atime": 1705135892.3107662, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "c9638f934d9bd63f915871a44f22aa1968342884", "ctime": 1705135887.6849103, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 136788, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1642101032.0, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 3232, "uid": 0, "version": "18446744073545515245", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 13 January 2024 08:51:45 +0000 (0:00:00.148) 0:00:48.993 ****** Notification for handler Restart rsyslogd has been saved. changed: [sut] => { "changed": true, "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "dest": "/etc/rsyslog.conf", "gid": 0, "group": "root", "md5sum": "06be1b21217661badf921ea6dcceb702", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 131, "src": "/root/.ansible/tmp/ansible-tmp-1705135905.8770761-4290-229910957030620/source", "state": "file", "uid": 0 } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:260 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.387) 0:00:49.380 ****** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.015) 0:00:49.396 ****** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ActiveEnterTimestampMonotonic": "1299763095", "ActiveExitTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ActiveExitTimestampMonotonic": "1299754212", "ActiveState": "active", "After": "network.target network-online.target basic.target system.slice", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:51:32 UTC", "AssertTimestampMonotonic": "1299758115", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ConditionTimestampMonotonic": "1299758114", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "13275", "ExecMainStartTimestamp": "Sat 2024-01-13 08:51:32 UTC", "ExecMainStartTimestampMonotonic": "1299758671", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:51:32 UTC] ; stop_time=[n/a] ; pid=13275 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-01-13 08:51:32 UTC", "InactiveEnterTimestampMonotonic": "1299757551", "InactiveExitTimestamp": "Sat 2024-01-13 08:51:32 UTC", "InactiveExitTimestampMonotonic": "1299758719", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "13275", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:51:32 UTC", "WatchdogTimestampMonotonic": "1299763031", "WatchdogUSec": "0" } } TASK [Ensure config file size and counts] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:263 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.221) 0:00:49.617 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml for sut TASK [Get info of /etc/rsyslog.conf] ******************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:2 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.041) 0:00:49.659 ****** ok: [sut] => { "changed": false, "stat": { "atime": 1705135906.433326, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1705135906.1673343, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263385, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135906.0433383, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "18446744073545515508", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Get file counts in /etc/rsyslog.d] *************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:7 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.136) 0:00:49.796 ****** ok: [sut] => { "changed": false, "examined": 12, "files": [ { "atime": 1705135906.433326, "ctime": 1705135901.4564812, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135901.3264852, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135904.2443943, "ctime": 1705135903.2634249, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263380, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135903.1334288, "nlink": 1, "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 150, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135906.433326, "ctime": 1705135902.7094421, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263379, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135902.5764463, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 115, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135901.9454658, "ctime": 1705135900.7825022, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263377, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135900.6515062, "nlink": 1, "path": "/etc/rsyslog.d/10-output-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 151, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135906.433326, "ctime": 1705135903.8524065, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263381, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135903.7234104, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135906.433326, "ctime": 1705135904.923373, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263382, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135904.797377, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 280, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135906.433326, "ctime": 1705135899.4305444, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263375, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135899.2935486, "nlink": 1, "path": "/etc/rsyslog.d/10-input-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 118, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135906.433326, "ctime": 1705135897.2746115, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 262515, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135897.1446154, "nlink": 1, "path": "/etc/rsyslog.d/00-global.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 137, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135906.433326, "ctime": 1705135897.6525996, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263373, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135897.515604, "nlink": 1, "path": "/etc/rsyslog.d/05-common-defaults.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 96, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135906.433326, "ctime": 1705135898.6855674, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263374, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135898.5535717, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 871, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135906.433326, "ctime": 1705135900.0615246, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263376, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135899.8975296, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } ], "matched": 11, "skipped_paths": {} } MSG: All paths examined TASK [Check rsyslog.conf size (less)] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:13 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.138) 0:00:49.935 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check rsyslog.conf size (more)] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:18 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.021) 0:00:49.956 ****** skipping: [sut] => { "changed": false, "false_condition": "__conf_size == \"more\"", "skip_reason": "Conditional result was False" } TASK [Check file counts in rsyslog.d] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:23 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.017) 0:00:49.974 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check conf files exist] ************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:27 Saturday 13 January 2024 08:51:46 +0000 (0:00:00.018) 0:00:49.992 ****** ok: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "stat": { "atime": 1705135906.433326, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1705135901.4564812, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135901.3264852, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "18446744073545515446", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "stat": { "atime": 1705135906.433326, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "ctime": 1705135903.8524065, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263381, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135903.7234104, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "version": "18446744073545515478", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "stat": { "atime": 1705135906.433326, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "ctime": 1705135900.0615246, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263376, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135899.8975296, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "version": "18446744073545515430", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:35 Saturday 13 January 2024 08:51:47 +0000 (0:00:00.395) 0:00:50.387 ****** skipping: [sut] => { "changed": false, "false_condition": "__check_systemctl_status == \"true\"", "skip_reason": "Conditional result was False" } TASK [Check the files config stat] ********************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:274 Saturday 13 January 2024 08:51:47 +0000 (0:00:00.016) 0:00:50.404 ****** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1705135906.433326, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1705135901.4564812, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135901.3264852, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "18446744073545515446", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Grep output to messages line] ******************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:281 Saturday 13 January 2024 08:51:47 +0000 (0:00:00.179) 0:00:50.583 ****** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "\\*.info;authpriv.none;auth.none;cron.none;mail.none.*/var/log/messages", "/etc/rsyslog.d/30-output-files-files_test0.conf" ], "delta": "0:00:00.003160", "end": "2024-01-13 08:51:47.541119", "rc": 0, "start": "2024-01-13 08:51:47.537959" } STDOUT: *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages TASK [Ensure logger message is logged in a file] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:289 Saturday 13 January 2024 08:51:47 +0000 (0:00:00.131) 0:00:50.715 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/test_logger.yml for sut TASK [Run logger to generate a test log message] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/test_logger.yml:7 Saturday 13 January 2024 08:51:47 +0000 (0:00:00.042) 0:00:50.757 ****** ok: [sut] => { "changed": false, "cmd": [ "/bin/logger", "-i", "-p", "local6.info", "-t", "testTag1", "testMessage1" ], "delta": "0:00:00.002922", "end": "2024-01-13 08:51:47.721695", "rc": 0, "start": "2024-01-13 08:51:47.718773" } TASK [Check the test log message in /var/log/messages] ************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/test_logger.yml:13 Saturday 13 January 2024 08:51:47 +0000 (0:00:00.139) 0:00:50.897 ****** ok: [sut] => { "attempts": 1, "changed": false, "cmd": [ "/bin/grep", "testMessage1", "/var/log/messages" ], "delta": "0:00:00.003031", "end": "2024-01-13 08:51:47.862736", "rc": 0, "start": "2024-01-13 08:51:47.859705" } STDOUT: 2024-01-13T08:51:47.718978+00:00 1b334e4e-c870-48b5-b8bd-4669dfca6fe7 ansible-ansible.legacy.command: Invoked with executable=None _uses_shell=False expand_argument_vars=True strip_empty_ends=True _raw_params=/bin/logger -i -p local6.info -t testTag1 testMessage1 removes=None argv=None creates=None chdir=None stdin_add_newline=True stdin=None 2024-01-13T08:51:47.721676+00:00 1b334e4e-c870-48b5-b8bd-4669dfca6fe7 testTag1[14116]: testMessage1 2024-01-13T08:51:47.859877+00:00 1b334e4e-c870-48b5-b8bd-4669dfca6fe7 ansible-ansible.legacy.command: Invoked with executable=None _uses_shell=False expand_argument_vars=True strip_empty_ends=True _raw_params=/bin/grep testMessage1 /var/log/messages removes=None argv=None creates=None chdir=None stdin_add_newline=True stdin=None TASK [Check the forwarding config stat] **************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:295 Saturday 13 January 2024 08:51:47 +0000 (0:00:00.142) 0:00:51.039 ****** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1705135906.433326, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "ctime": 1705135903.8524065, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263381, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135903.7234104, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "version": "18446744073545515478", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Generate a file to check severity_and_facility] ************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:301 Saturday 13 January 2024 08:51:48 +0000 (0:00:00.139) 0:00:51.178 ****** ok: [sut] => { "changed": false, "checksum": "e18160e11437f8394d8ed393cda52474627d5062", "dest": "/tmp/__testfile__", "gid": 0, "group": "root", "mode": "0600", "owner": "root", "path": "/tmp/__testfile__", "secontext": "unconfined_u:object_r:admin_home_t:s0", "size": 296, "state": "file", "uid": 0 } TASK [Check severity_and_facility] ********************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:320 Saturday 13 January 2024 08:51:48 +0000 (0:00:00.372) 0:00:51.551 ****** ok: [sut] => { "changed": false, "cmd": [ "diff", "-B", "/tmp/__testfile__", "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf" ], "delta": "0:00:00.003055", "end": "2024-01-13 08:51:48.510168", "rc": 0, "start": "2024-01-13 08:51:48.507113" } TASK [Check the files config stat] ********************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:324 Saturday 13 January 2024 08:51:48 +0000 (0:00:00.135) 0:00:51.687 ****** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1705135906.433326, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "ctime": 1705135900.0615246, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263376, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135899.8975296, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "version": "18446744073545515430", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check the input call with tag=files_input] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:330 Saturday 13 January 2024 08:51:48 +0000 (0:00:00.150) 0:00:51.837 ****** ok: [sut] => (item=type="imfile") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "type=\"imfile\"" } ok: [sut] => (item=file="/var/log/inputdirectory/*.log") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "file=\"/var/log/inputdirectory/*.log\"" } ok: [sut] => (item=tag="files_input") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "tag=\"files_input\"" } TASK [Create a test log file with a log message in /var/log/inputdirectory] **** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:344 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.386) 0:00:52.224 ****** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\necho '<167>Dec 26 01:00:00 11.22.33.44 tag msgnum:00000001:26:ABCDEFGHIJKLMNOPQRSTUVWXYZ' >> /var/log/inputdirectory/test.log", "delta": "0:00:00.003736", "end": "2024-01-13 08:51:49.186721", "rc": 0, "start": "2024-01-13 08:51:49.182985" } TASK [Check the fake test log message in /var/log/messages] ******************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:350 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.145) 0:00:52.370 ****** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "files_input .*ABCDEFGHIJKLMNOPQRSTUVWXYZ$", "/var/log/messages" ], "delta": "0:00:00.003379", "end": "2024-01-13 08:51:49.336353", "rc": 0, "start": "2024-01-13 08:51:49.332974" } STDOUT: 2024-01-13T08:51:49.186568+00:00 1b334e4e-c870-48b5-b8bd-4669dfca6fe7 files_input 7>Dec 26 01:00:00 11.22.33.44 tag msgnum:00000001:26:ABCDEFGHIJKLMNOPQRSTUVWXYZ TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:356 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.152) 0:00:52.523 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:3 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.057) 0:00:52.580 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:13 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.040) 0:00:52.621 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:22 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.034) 0:00:52.655 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:34 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.018) 0:00:52.673 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:44 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.025) 0:00:52.699 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [END TEST CASE 1; Clean up the deployed config] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:359 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.020) 0:00:52.720 ****** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.142) 0:00:52.862 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_inputs | d([])", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.032) 0:00:52.894 ****** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.033) 0:00:52.928 ****** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.032) 0:00:52.961 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.022) 0:00:52.984 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.015) 0:00:52.999 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.043) 0:00:53.043 ****** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.040) 0:00:53.083 ****** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 13 January 2024 08:51:49 +0000 (0:00:00.035) 0:00:53.119 ****** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.035) 0:00:53.155 ****** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.038) 0:00:53.193 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.030) 0:00:53.224 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.029) 0:00:53.254 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.030) 0:00:53.284 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.029) 0:00:53.313 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.030) 0:00:53.344 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.029) 0:00:53.373 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.033) 0:00:53.407 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.031) 0:00:53.438 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.031) 0:00:53.470 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.030) 0:00:53.500 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.033) 0:00:53.533 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.200) 0:00:53.734 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.032) 0:00:53.766 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.027) 0:00:53.793 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.038) 0:00:53.832 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.050) 0:00:53.882 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.068) 0:00:53.950 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.030) 0:00:53.980 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.015) 0:00:53.996 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.017) 0:00:54.013 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.015) 0:00:54.028 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.015) 0:00:54.044 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.027) 0:00:54.072 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.046) 0:00:54.118 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 13 January 2024 08:51:50 +0000 (0:00:00.029) 0:00:54.147 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.026) 0:00:54.174 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.030) 0:00:54.204 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.031) 0:00:54.236 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.029) 0:00:54.265 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.027) 0:00:54.293 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.074) 0:00:54.367 ****** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.029) 0:00:54.396 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.025) 0:00:54.422 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.028) 0:00:54.450 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.019) 0:00:54.470 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.021) 0:00:54.491 ****** ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.049) 0:00:54.541 ****** skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.050) 0:00:54.591 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.056) 0:00:54.647 ****** ok: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "iproute" ], "delta": "0:00:00.086204", "end": "2024-01-13 08:51:51.702044", "failed_when_result": false, "item": "iproute", "rc": 0, "start": "2024-01-13 08:51:51.615840" } ok: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "rsyslog" ], "delta": "0:00:00.080010", "end": "2024-01-13 08:51:51.898901", "failed_when_result": false, "item": "rsyslog", "rc": 1, "start": "2024-01-13 08:51:51.818891" } STDOUT: S.5....T. c /etc/rsyslog.conf MSG: non-zero return code TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 13 January 2024 08:51:51 +0000 (0:00:00.431) 0:00:55.079 ****** changed: [sut] => { "changed": true, "changes": { "removed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be erased\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be erased\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nRemoving:\n iproute x86_64 4.11.0-30.el7 @base 1.8 M\n rsyslog x86_64 8.24.0-57.el7_9.3 @updates 1.9 M\n\nTransaction Summary\n================================================================================\nRemove 2 Packages\n\nInstalled size: 3.7 M\nDownloading packages:\nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Erasing : iproute-4.11.0-30.el7.x86_64 1/2 \n Erasing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \nwarning: /etc/rsyslog.conf saved as /etc/rsyslog.conf.rpmsave\n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nRemoved:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 13 January 2024 08:51:53 +0000 (0:00:01.402) 0:00:56.481 ****** changed: [sut] => { "changed": true, "changes": { "installed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nLoading mirror speeds from cached hostfile\n * base: download.cf.centos.org\n * extras: download.cf.centos.org\n * updates: download.cf.centos.org\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be installed\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be installed\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nInstalling:\n iproute x86_64 4.11.0-30.el7 base 805 k\n rsyslog x86_64 8.24.0-57.el7_9.3 updates 622 k\n\nTransaction Summary\n================================================================================\nInstall 2 Packages\n\nTotal download size: 1.4 M\nInstalled size: 3.7 M\nDownloading packages:\n--------------------------------------------------------------------------------\nTotal 4.7 MB/s | 1.4 MB 00:00 \nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Installing : iproute-4.11.0-30.el7.x86_64 1/2 \n Installing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nInstalled:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 13 January 2024 08:51:55 +0000 (0:00:02.649) 0:00:59.131 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 13 January 2024 08:51:55 +0000 (0:00:00.018) 0:00:59.149 ****** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "80.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "80.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "80.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "80.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "80.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.16.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.49" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2023c" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 13 January 2024 08:51:56 +0000 (0:00:00.943) 0:01:00.093 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 13 January 2024 08:51:56 +0000 (0:00:00.025) 0:01:00.118 ****** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 13 January 2024 08:51:56 +0000 (0:00:00.023) 0:01:00.142 ****** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.084) 0:01:00.226 ****** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.026) 0:01:00.252 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.155) 0:01:00.407 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.147) 0:01:00.554 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.153) 0:01:00.708 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.097) 0:01:00.806 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.073) 0:01:00.879 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.033) 0:01:00.913 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.049) 0:01:00.962 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.016) 0:01:00.978 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.028) 0:01:01.007 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.017) 0:01:01.024 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 13 January 2024 08:51:57 +0000 (0:00:00.020) 0:01:01.045 ****** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\nfor conf in $( ls \"/etc/rsyslog.d\" ); do\n rstr=$( rpm -qf \"/etc/rsyslog.d/$conf\" 2>&1 || : )\n if [[ \"$rstr\" == *\"not owned by any package\"* ]]; then\n echo \"/etc/rsyslog.d/$conf\"\n fi\ndone\n", "delta": "0:00:00.366645", "end": "2024-01-13 08:51:58.376219", "failed_when_result": false, "rc": 0, "start": "2024-01-13 08:51:58.009574" } STDOUT: /etc/rsyslog.d/00-global.conf /etc/rsyslog.d/05-common-defaults.conf /etc/rsyslog.d/10-input-files-modules.conf /etc/rsyslog.d/10-output-files-modules.conf /etc/rsyslog.d/10-output-forwards-modules.conf /etc/rsyslog.d/30-output-files-files_test0.conf /etc/rsyslog.d/30-output-files-files_test1.conf /etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf /etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf /etc/rsyslog.d/90-input-basics-basic_input.conf /etc/rsyslog.d/90-input-files-files_input.conf TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 13 January 2024 08:51:58 +0000 (0:00:00.518) 0:01:01.564 ****** changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-forwards-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-forwards-modules.conf", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-input-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-input-files-modules.conf", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/05-common-defaults.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/05-common-defaults.conf", "path": "/etc/rsyslog.d/05-common-defaults.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-files-modules.conf", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-basics-basic_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test1.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test1.conf", "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/00-global.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/00-global.conf", "path": "/etc/rsyslog.d/00-global.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 13 January 2024 08:51:59 +0000 (0:00:01.441) 0:01:03.005 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 13 January 2024 08:51:59 +0000 (0:00:00.024) 0:01:03.030 ****** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 13 January 2024 08:51:59 +0000 (0:00:00.038) 0:01:03.068 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 13 January 2024 08:51:59 +0000 (0:00:00.031) 0:01:03.099 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 13 January 2024 08:51:59 +0000 (0:00:00.032) 0:01:03.132 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.030) 0:01:03.162 ****** changed: [sut] => { "changed": true, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestampMonotonic": "0", "ActiveExitTimestampMonotonic": "0", "ActiveState": "inactive", "After": "basic.target network.target system.slice network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "no", "AssertTimestampMonotonic": "0", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "no", "ConditionTimestampMonotonic": "0", "Conflicts": "shutdown.target", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "0", "ExecMainStartTimestampMonotonic": "0", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestampMonotonic": "0", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "0", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "dead", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestampMonotonic": "0", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.238) 0:01:03.401 ****** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.025) 0:01:03.427 ****** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.024) 0:01:03.452 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.106) 0:01:03.558 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.026) 0:01:03.585 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:371 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.043) 0:01:03.628 ****** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.015) 0:01:03.643 ****** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ActiveEnterTimestampMonotonic": "1327257878", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "basic.target network.target system.slice network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:52:00 UTC", "AssertTimestampMonotonic": "1327251785", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ConditionTimestampMonotonic": "1327251785", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "14450", "ExecMainStartTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ExecMainStartTimestampMonotonic": "1327252437", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:52:00 UTC] ; stop_time=[n/a] ; pid=14450 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-01-13 08:52:00 UTC", "InactiveExitTimestampMonotonic": "1327252481", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "14450", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:52:00 UTC", "WatchdogTimestampMonotonic": "1327257841", "WatchdogUSec": "0" } } TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:374 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.222) 0:01:03.866 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:3 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.045) 0:01:03.912 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:13 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.029) 0:01:03.941 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:22 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.014) 0:01:03.955 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:34 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.015) 0:01:03.970 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:44 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.022) 0:01:03.993 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [TEST CASE 2; Absent state test - Running combination configured logging role twice] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:378 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.015) 0:01:04.009 ****** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.075) 0:01:04.085 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_outputs | d([]) | selectattr('name', 'defined') | selectattr('type', 'defined') | selectattr('type', 'match', '^files$') | list | length == 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.033) 0:01:04.118 ****** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [ { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 13 January 2024 08:52:00 +0000 (0:00:00.032) 0:01:04.151 ****** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [ { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.034) 0:01:04.185 ****** skipping: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.047) 0:01:04.232 ****** skipping: [sut] => (item={'name': 'flow_0', 'inputs': ['basic_input'], 'outputs': ['files_test0', 'files_test1', 'forwards_severity_and_facility', 'forwards_facility_only']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_0", "outputs": [ "files_test0", "files_test1", "forwards_severity_and_facility", "forwards_facility_only" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_1', 'inputs': ['files_input'], 'outputs': ['files_test0', 'files_test1']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "files_input" ], "name": "flow_1", "outputs": [ "files_test0", "files_test1" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.089) 0:01:04.322 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.045) 0:01:04.367 ****** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.030) 0:01:04.397 ****** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.029) 0:01:04.426 ****** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.028) 0:01:04.455 ****** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.029) 0:01:04.484 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.027) 0:01:04.512 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.028) 0:01:04.540 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.027) 0:01:04.567 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.028) 0:01:04.595 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.027) 0:01:04.622 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.028) 0:01:04.650 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.027) 0:01:04.677 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.027) 0:01:04.705 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.027) 0:01:04.733 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.028) 0:01:04.761 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.027) 0:01:04.788 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.042) 0:01:04.831 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.027) 0:01:04.859 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.023) 0:01:04.882 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.024) 0:01:04.906 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.111) 0:01:05.018 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.046) 0:01:05.064 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.030) 0:01:05.095 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.015) 0:01:05.111 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.016) 0:01:05.128 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 13 January 2024 08:52:01 +0000 (0:00:00.015) 0:01:05.144 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.015) 0:01:05.159 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.028) 0:01:05.187 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.045) 0:01:05.233 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.030) 0:01:05.263 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.038) 0:01:05.302 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.036) 0:01:05.339 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.031) 0:01:05.370 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.034) 0:01:05.405 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.037) 0:01:05.443 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.033) 0:01:05.477 ****** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.044) 0:01:05.521 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.076) 0:01:05.597 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.030) 0:01:05.627 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.020) 0:01:05.648 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.019) 0:01:05.667 ****** ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.043) 0:01:05.710 ****** skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.052) 0:01:05.763 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.053) 0:01:05.817 ****** skipping: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "iproute", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "rsyslog", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.029) 0:01:05.846 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 13 January 2024 08:52:02 +0000 (0:00:00.025) 0:01:05.871 ****** ok: [sut] => { "changed": false, "rc": 0, "results": [ "iproute-4.11.0-30.el7.x86_64 providing iproute is already installed", "rsyslog-8.24.0-57.el7_9.3.x86_64 providing rsyslog is already installed" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 13 January 2024 08:52:03 +0000 (0:00:00.322) 0:01:06.194 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 13 January 2024 08:52:03 +0000 (0:00:00.018) 0:01:06.212 ****** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "80.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "80.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "80.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "80.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "80.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.16.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.49" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2023c" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.967) 0:01:07.179 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.027) 0:01:07.206 ****** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.022) 0:01:07.229 ****** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.020) 0:01:07.250 ****** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.030) 0:01:07.281 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.148) 0:01:07.430 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.147) 0:01:07.577 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.189) 0:01:07.767 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 13 January 2024 08:52:04 +0000 (0:00:00.066) 0:01:07.833 ****** changed: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } changed: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.760) 0:01:08.594 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.033) 0:01:08.627 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.035) 0:01:08.663 ****** ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" } } ok: [sut] => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log'}) => { "ansible_facts": { "__rsyslog_conf_imfile_modules": [ { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" } ], "__rsyslog_files_input_packages": [], "__rsyslog_files_input_rules": [ "{{ __rsyslog_conf_imfile_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.037) 0:01:08.700 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml for sut => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml for sut => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update basics input packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:3 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.059) 0:01:08.759 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.058) 0:01:08.818 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.031) 0:01:08.849 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.023) 0:01:08.873 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.030) 0:01:08.904 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create basics input configuration file /etc/rsyslog.d/input-basics-basic_input] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:10 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.028) 0:01:08.933 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.041) 0:01:08.974 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:05 +0000 (0:00:00.029) 0:01:09.004 ****** changed: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:06 +0000 (0:00:00.510) 0:01:09.515 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:06 +0000 (0:00:00.033) 0:01:09.549 ****** skipping: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files input packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:3 Saturday 13 January 2024 08:52:06 +0000 (0:00:00.136) 0:01:09.685 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:06 +0000 (0:00:00.043) 0:01:09.728 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:06 +0000 (0:00:00.087) 0:01:09.816 ****** changed: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.399) 0:01:10.216 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.038) 0:01:10.254 ****** skipping: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files input configuration file /etc/rsyslog.d/input-files-files_input] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:12 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.033) 0:01:10.287 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.048) 0:01:10.336 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.039) 0:01:10.375 ****** changed: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.491) 0:01:10.867 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.048) 0:01:10.916 ****** skipping: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.132) 0:01:11.049 ****** ok: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" } } ok: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" } } ok: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" } } ok: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 13 January 2024 08:52:07 +0000 (0:00:00.058) 0:01:11.107 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 13 January 2024 08:52:08 +0000 (0:00:00.085) 0:01:11.193 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:08 +0000 (0:00:00.039) 0:01:11.233 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:08 +0000 (0:00:00.026) 0:01:11.260 ****** changed: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:08 +0000 (0:00:00.400) 0:01:11.660 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:08 +0000 (0:00:00.046) 0:01:11.707 ****** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test0] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 13 January 2024 08:52:08 +0000 (0:00:00.047) 0:01:11.755 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:08 +0000 (0:00:00.044) 0:01:11.799 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:08 +0000 (0:00:00.026) 0:01:11.825 ****** changed: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.602) 0:01:12.428 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.041) 0:01:12.469 ****** skipping: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.078) 0:01:12.548 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.038) 0:01:12.586 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.030) 0:01:12.617 ****** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.350) 0:01:12.968 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.037) 0:01:13.005 ****** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.044) 0:01:13.050 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.043) 0:01:13.093 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:09 +0000 (0:00:00.028) 0:01:13.122 ****** changed: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:10 +0000 (0:00:00.424) 0:01:13.547 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:10 +0000 (0:00:00.040) 0:01:13.587 ****** skipping: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 13 January 2024 08:52:10 +0000 (0:00:00.080) 0:01:13.668 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:10 +0000 (0:00:00.047) 0:01:13.715 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:10 +0000 (0:00:00.031) 0:01:13.747 ****** changed: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:10 +0000 (0:00:00.404) 0:01:14.151 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.039) 0:01:14.191 ****** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_severity_and_facility] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.032) 0:01:14.223 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.109) 0:01:14.333 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.029) 0:01:14.362 ****** changed: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135931.304599-5219-13184084950313/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.440) 0:01:14.803 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135931.304599-5219-13184084950313/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135931.304599-5219-13184084950313/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.050) 0:01:14.853 ****** skipping: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.103) 0:01:14.957 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.056) 0:01:15.013 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:11 +0000 (0:00:00.037) 0:01:15.051 ****** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:12 +0000 (0:00:00.402) 0:01:15.453 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135931.304599-5219-13184084950313/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135931.304599-5219-13184084950313/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:12 +0000 (0:00:00.042) 0:01:15.495 ****** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_facility_only] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 13 January 2024 08:52:12 +0000 (0:00:00.037) 0:01:15.533 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:12 +0000 (0:00:00.044) 0:01:15.578 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:12 +0000 (0:00:00.028) 0:01:15.606 ****** changed: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1705135932.5442524-5298-197413934037663/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:12 +0000 (0:00:00.431) 0:01:16.037 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1705135924.7277024-4869-23472073372367/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1705135925.0859032-4869-264700480961393/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.0148861-4933-247374035323699/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1705135926.7087777-4974-20752002244923/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1705135927.3362567-5006-192758304306383/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.1567912-5052-74471054588524/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1705135928.8228202-5083-23176505417355/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.0547037-5149-63310690390747/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1705135930.6399946-5183-271926378590077/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135931.304599-5219-13184084950313/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1705135931.304599-5219-13184084950313/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135932.5442524-5298-197413934037663/source", "unsafe_writes": false, "validate": null } }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1705135932.5442524-5298-197413934037663/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:12 +0000 (0:00:00.041) 0:01:16.079 ****** skipping: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 13 January 2024 08:52:12 +0000 (0:00:00.074) 0:01:16.153 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.026) 0:01:16.180 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.033) 0:01:16.214 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.023) 0:01:16.237 ****** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.040) 0:01:16.277 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.033) 0:01:16.311 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.033) 0:01:16.344 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.162) 0:01:16.506 ****** ok: [sut] => { "changed": false, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ActiveEnterTimestampMonotonic": "1327726044", "ActiveExitTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ActiveExitTimestampMonotonic": "1327717233", "ActiveState": "active", "After": "basic.target network.target system.slice network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:52:00 UTC", "AssertTimestampMonotonic": "1327720479", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ConditionTimestampMonotonic": "1327720478", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "14466", "ExecMainStartTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ExecMainStartTimestampMonotonic": "1327721091", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:52:00 UTC] ; stop_time=[n/a] ; pid=14466 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-01-13 08:52:00 UTC", "InactiveEnterTimestampMonotonic": "1327720061", "InactiveExitTimestamp": "Sat 2024-01-13 08:52:00 UTC", "InactiveExitTimestampMonotonic": "1327721145", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "14466", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:52:00 UTC", "WatchdogTimestampMonotonic": "1327725985", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.234) 0:01:16.741 ****** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.032) 0:01:16.774 ****** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.026) 0:01:16.800 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_is_ostree | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.030) 0:01:16.831 ****** ok: [sut] => { "changed": false, "stat": { "atime": 1705135920.2138758, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "c9638f934d9bd63f915871a44f22aa1968342884", "ctime": 1705135915.6230307, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 136788, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1642101032.0, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 3232, "uid": 0, "version": "18446744073545515775", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 13 January 2024 08:52:13 +0000 (0:00:00.156) 0:01:16.987 ****** Notification for handler Restart rsyslogd has been saved. changed: [sut] => { "changed": true, "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "dest": "/etc/rsyslog.conf", "gid": 0, "group": "root", "md5sum": "06be1b21217661badf921ea6dcceb702", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 131, "src": "/root/.ansible/tmp/ansible-tmp-1705135933.879548-5358-53498425813958/source", "state": "file", "uid": 0 } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:429 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.395) 0:01:17.383 ****** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.015) 0:01:17.399 ****** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ActiveEnterTimestampMonotonic": "1327726044", "ActiveExitTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ActiveExitTimestampMonotonic": "1327717233", "ActiveState": "active", "After": "basic.target network.target system.slice network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:52:00 UTC", "AssertTimestampMonotonic": "1327720479", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ConditionTimestampMonotonic": "1327720478", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "14466", "ExecMainStartTimestamp": "Sat 2024-01-13 08:52:00 UTC", "ExecMainStartTimestampMonotonic": "1327721091", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:52:00 UTC] ; stop_time=[n/a] ; pid=14466 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-01-13 08:52:00 UTC", "InactiveEnterTimestampMonotonic": "1327720061", "InactiveExitTimestamp": "Sat 2024-01-13 08:52:00 UTC", "InactiveExitTimestampMonotonic": "1327721145", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "14466", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:52:00 UTC", "WatchdogTimestampMonotonic": "1327725985", "WatchdogUSec": "0" } } TASK [Ensure config file size and counts] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:432 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.222) 0:01:17.621 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml for sut TASK [Get info of /etc/rsyslog.conf] ******************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:2 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.061) 0:01:17.682 ****** ok: [sut] => { "changed": false, "stat": { "atime": 1705135934.4373963, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1705135934.1714053, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263383, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135934.0454097, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "18446744073545516038", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Get file counts in /etc/rsyslog.d] *************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:7 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.147) 0:01:17.830 ****** ok: [sut] => { "changed": false, "examined": 12, "files": [ { "atime": 1705135934.4373963, "ctime": 1705135929.168574, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135929.0115793, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135932.1144748, "ctime": 1705135930.951514, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263380, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135930.8155184, "nlink": 1, "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 150, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135930.3435345, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263379, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135930.2145388, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 115, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135929.6455579, "ctime": 1705135928.4605978, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263377, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135928.3296022, "nlink": 1, "path": "/etc/rsyslog.d/10-output-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 151, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135931.603492, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263381, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135931.4704964, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135932.8414502, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263382, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135932.7144544, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 280, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135927.0166466, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263375, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135926.8736513, "nlink": 1, "path": "/etc/rsyslog.d/10-input-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 118, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135925.0227137, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 262515, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135924.892718, "nlink": 1, "path": "/etc/rsyslog.d/00-global.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 137, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135925.3867016, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263373, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135925.253706, "nlink": 1, "path": "/etc/rsyslog.d/05-common-defaults.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 96, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135926.31967, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263374, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135926.1916742, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 871, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135927.658625, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263376, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135927.5276294, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } ], "matched": 11, "skipped_paths": {} } MSG: All paths examined TASK [Check rsyslog.conf size (less)] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:13 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.169) 0:01:17.999 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check rsyslog.conf size (more)] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:18 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.027) 0:01:18.027 ****** skipping: [sut] => { "changed": false, "false_condition": "__conf_size == \"more\"", "skip_reason": "Conditional result was False" } TASK [Check file counts in rsyslog.d] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:23 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.034) 0:01:18.062 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check conf files exist] ************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:27 Saturday 13 January 2024 08:52:14 +0000 (0:00:00.022) 0:01:18.085 ****** ok: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "stat": { "atime": 1705135934.4373963, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1705135929.168574, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135929.0115793, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "18446744073545515976", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "stat": { "atime": 1705135934.4373963, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "ctime": 1705135931.603492, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263381, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135931.4704964, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "version": "18446744073545516008", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "stat": { "atime": 1705135934.4373963, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "ctime": 1705135927.658625, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263376, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135927.5276294, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "version": "18446744073545515960", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:35 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.402) 0:01:18.487 ****** skipping: [sut] => { "changed": false, "false_condition": "__check_systemctl_status == \"true\"", "skip_reason": "Conditional result was False" } TASK [Generate test config file to be overridden] ****************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:443 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.017) 0:01:18.504 ****** changed: [sut] => { "changed": true, "checksum": "2037a21ce163b09c9f9764a89cb48ea463b387de", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "md5sum": "4fd020822a6c0e3d9c4649546ebf1c88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 496, "src": "/root/.ansible/tmp/ansible-tmp-1705135935.3768005-5406-20774390876650/source", "state": "file", "uid": 0 } TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:464 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.356) 0:01:18.861 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:3 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.103) 0:01:18.965 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:13 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.031) 0:01:18.997 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:22 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.015) 0:01:19.012 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:34 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.017) 0:01:19.030 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:44 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.025) 0:01:19.055 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Deploy reduced config to output into local files] ************************ task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:467 Saturday 13 January 2024 08:52:15 +0000 (0:00:00.016) 0:01:19.072 ****** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.089) 0:01:19.162 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_outputs | d([]) | selectattr('name', 'defined') | selectattr('type', 'defined') | selectattr('type', 'match', '^files$') | list | length == 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.036) 0:01:19.198 ****** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [ { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "state": "absent", "type": "files" }, { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "state": "absent", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, { "facility": "local2", "name": "forwards_facility_only", "state": "absent", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.050) 0:01:19.249 ****** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [ { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "state": "absent", "type": "files" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.048) 0:01:19.298 ****** skipping: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure', 'state': 'absent'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "state": "absent", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514, 'state': 'absent'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "state": "absent", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514, 'state': 'absent'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local2", "name": "forwards_facility_only", "state": "absent", "target": "host.domain", "tcp_port": 2514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.054) 0:01:19.352 ****** skipping: [sut] => (item={'name': 'flow_0', 'inputs': ['basic_input'], 'outputs': ['files_test0']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_0", "outputs": [ "files_test0" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.037) 0:01:19.390 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.046) 0:01:19.436 ****** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.032) 0:01:19.469 ****** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.030) 0:01:19.500 ****** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.032) 0:01:19.533 ****** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.034) 0:01:19.567 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.038) 0:01:19.606 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.202) 0:01:19.809 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.038) 0:01:19.847 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.041) 0:01:19.889 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.039) 0:01:19.928 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.032) 0:01:19.961 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.030) 0:01:19.991 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.032) 0:01:20.024 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.032) 0:01:20.056 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.031) 0:01:20.087 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 13 January 2024 08:52:16 +0000 (0:00:00.033) 0:01:20.121 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.053) 0:01:20.175 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.031) 0:01:20.206 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.024) 0:01:20.231 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.025) 0:01:20.256 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.027) 0:01:20.284 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.044) 0:01:20.329 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.028) 0:01:20.358 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.017) 0:01:20.375 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.016) 0:01:20.392 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.015) 0:01:20.407 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.073) 0:01:20.481 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.031) 0:01:20.512 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.074) 0:01:20.587 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.046) 0:01:20.633 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.029) 0:01:20.662 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.030) 0:01:20.693 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.029) 0:01:20.723 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.034) 0:01:20.757 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.030) 0:01:20.787 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.032) 0:01:20.819 ****** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.031) 0:01:20.851 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.029) 0:01:20.881 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.030) 0:01:20.911 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.024) 0:01:20.936 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.022) 0:01:20.958 ****** ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.047) 0:01:21.006 ****** skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.056) 0:01:21.062 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 13 January 2024 08:52:17 +0000 (0:00:00.065) 0:01:21.128 ****** skipping: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "iproute", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "rsyslog", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 13 January 2024 08:52:18 +0000 (0:00:00.093) 0:01:21.222 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 13 January 2024 08:52:18 +0000 (0:00:00.031) 0:01:21.253 ****** ok: [sut] => { "changed": false, "rc": 0, "results": [ "iproute-4.11.0-30.el7.x86_64 providing iproute is already installed", "rsyslog-8.24.0-57.el7_9.3.x86_64 providing rsyslog is already installed" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 13 January 2024 08:52:18 +0000 (0:00:00.342) 0:01:21.595 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 13 January 2024 08:52:18 +0000 (0:00:00.041) 0:01:21.637 ****** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "80.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "80.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "80.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "80.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "80.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.16.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.49" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2023c" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 13 January 2024 08:52:19 +0000 (0:00:00.968) 0:01:22.606 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 13 January 2024 08:52:19 +0000 (0:00:00.025) 0:01:22.631 ****** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 13 January 2024 08:52:19 +0000 (0:00:00.025) 0:01:22.656 ****** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 13 January 2024 08:52:19 +0000 (0:00:00.022) 0:01:22.679 ****** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 13 January 2024 08:52:19 +0000 (0:00:00.024) 0:01:22.704 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 13 January 2024 08:52:19 +0000 (0:00:00.150) 0:01:22.854 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 13 January 2024 08:52:19 +0000 (0:00:00.153) 0:01:23.008 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 13 January 2024 08:52:20 +0000 (0:00:00.163) 0:01:23.172 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 13 January 2024 08:52:20 +0000 (0:00:00.080) 0:01:23.253 ****** ok: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } ok: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 13 January 2024 08:52:20 +0000 (0:00:00.691) 0:01:23.944 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 13 January 2024 08:52:20 +0000 (0:00:00.030) 0:01:23.975 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 13 January 2024 08:52:20 +0000 (0:00:00.036) 0:01:24.012 ****** ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" } } ok: [sut] => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log', 'state': 'absent'}) => { "ansible_facts": { "__rsyslog_conf_imfile_modules": [ { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" } ], "__rsyslog_files_input_packages": [], "__rsyslog_files_input_rules": [ "{{ __rsyslog_conf_imfile_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "state": "absent", "type": "files" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 13 January 2024 08:52:20 +0000 (0:00:00.037) 0:01:24.049 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml for sut => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml for sut => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log', 'state': 'absent'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update basics input packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:3 Saturday 13 January 2024 08:52:20 +0000 (0:00:00.058) 0:01:24.107 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.096) 0:01:24.204 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.030) 0:01:24.234 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.024) 0:01:24.259 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.033) 0:01:24.293 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create basics input configuration file /etc/rsyslog.d/input-basics-basic_input] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:10 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.025) 0:01:24.318 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.046) 0:01:24.364 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.031) 0:01:24.396 ****** changed: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.476) 0:01:24.873 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.083) 0:01:24.956 ****** skipping: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files input packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:3 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.104) 0:01:25.060 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.044) 0:01:25.105 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:21 +0000 (0:00:00.031) 0:01:25.136 ****** ok: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:22 +0000 (0:00:00.348) 0:01:25.484 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:22 +0000 (0:00:00.046) 0:01:25.531 ****** skipping: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files input configuration file /etc/rsyslog.d/input-files-files_input] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:12 Saturday 13 January 2024 08:52:22 +0000 (0:00:00.056) 0:01:25.587 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:22 +0000 (0:00:00.066) 0:01:25.653 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:22 +0000 (0:00:00.031) 0:01:25.685 ****** skipping: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'absent', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\n\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:22 +0000 (0:00:00.166) 0:01:25.851 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:22 +0000 (0:00:00.132) 0:01:25.984 ****** changed: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'absent', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\n\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.233) 0:01:26.218 ****** ok: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" } } ok: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure', 'state': 'absent'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "state": "absent", "type": "files" } } ok: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514, 'state': 'absent'}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "state": "absent", "target": "host.domain", "tcp_port": 1514, "type": "forwards" } } ok: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514, 'state': 'absent'}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local2", "name": "forwards_facility_only", "state": "absent", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.065) 0:01:26.283 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure', 'state': 'absent'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514, 'state': 'absent'}) included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514, 'state': 'absent'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.071) 0:01:26.354 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.050) 0:01:26.405 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.043) 0:01:26.449 ****** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.402) 0:01:26.852 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.044) 0:01:26.896 ****** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test0] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.036) 0:01:26.932 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.046) 0:01:26.979 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:23 +0000 (0:00:00.029) 0:01:27.008 ****** ok: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:24 +0000 (0:00:00.459) 0:01:27.468 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:24 +0000 (0:00:00.036) 0:01:27.504 ****** skipping: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 13 January 2024 08:52:24 +0000 (0:00:00.089) 0:01:27.593 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:24 +0000 (0:00:00.056) 0:01:27.650 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:24 +0000 (0:00:00.032) 0:01:27.682 ****** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:24 +0000 (0:00:00.355) 0:01:28.037 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:24 +0000 (0:00:00.045) 0:01:28.083 ****** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.084) 0:01:28.167 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.041) 0:01:28.209 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.027) 0:01:28.236 ****** skipping: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.076) 0:01:28.312 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.036) 0:01:28.349 ****** changed: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.210) 0:01:28.560 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.042) 0:01:28.602 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.028) 0:01:28.630 ****** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.360) 0:01:28.991 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.039) 0:01:29.030 ****** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_severity_and_facility] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.035) 0:01:29.065 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.051) 0:01:29.117 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:25 +0000 (0:00:00.026) 0:01:29.143 ****** skipping: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.075) 0:01:29.219 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.040) 0:01:29.259 ****** changed: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.205) 0:01:29.464 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.040) 0:01:29.505 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.029) 0:01:29.535 ****** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.417) 0:01:29.952 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.040) 0:01:29.992 ****** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_facility_only] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.032) 0:01:30.025 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.040) 0:01:30.065 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 13 January 2024 08:52:26 +0000 (0:00:00.026) 0:01:30.092 ****** skipping: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.086) 0:01:30.178 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1705135941.365194-5629-67196106374133/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.052) 0:01:30.230 ****** changed: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.242) 0:01:30.473 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.026) 0:01:30.500 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.036) 0:01:30.536 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.024) 0:01:30.561 ****** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.046) 0:01:30.608 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.045) 0:01:30.653 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.042) 0:01:30.696 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.040) 0:01:30.737 ****** ok: [sut] => { "changed": false, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:52:14 UTC", "ActiveEnterTimestampMonotonic": "1341479754", "ActiveExitTimestamp": "Sat 2024-01-13 08:52:14 UTC", "ActiveExitTimestampMonotonic": "1341470261", "ActiveState": "active", "After": "basic.target network.target system.slice network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:52:14 UTC", "AssertTimestampMonotonic": "1341473938", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:52:14 UTC", "ConditionTimestampMonotonic": "1341473936", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "15235", "ExecMainStartTimestamp": "Sat 2024-01-13 08:52:14 UTC", "ExecMainStartTimestampMonotonic": "1341474638", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:52:14 UTC] ; stop_time=[n/a] ; pid=15235 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-01-13 08:52:14 UTC", "InactiveEnterTimestampMonotonic": "1341473395", "InactiveExitTimestamp": "Sat 2024-01-13 08:52:14 UTC", "InactiveExitTimestampMonotonic": "1341474687", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "15235", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:52:14 UTC", "WatchdogTimestampMonotonic": "1341479717", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.225) 0:01:30.962 ****** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.026) 0:01:30.989 ****** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.026) 0:01:31.015 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_is_ostree | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 13 January 2024 08:52:27 +0000 (0:00:00.032) 0:01:31.048 ****** ok: [sut] => { "changed": false, "stat": { "atime": 1705135934.4373963, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1705135934.1714053, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263383, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135934.0454097, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "18446744073545516038", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 13 January 2024 08:52:28 +0000 (0:00:00.148) 0:01:31.197 ****** ok: [sut] => { "changed": false, "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "dest": "/etc/rsyslog.conf", "gid": 0, "group": "root", "mode": "0644", "owner": "root", "path": "/etc/rsyslog.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 131, "state": "file", "uid": 0 } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:515 Saturday 13 January 2024 08:52:28 +0000 (0:00:00.420) 0:01:31.617 ****** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 13 January 2024 08:52:28 +0000 (0:00:00.019) 0:01:31.637 ****** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:52:14 UTC", "ActiveEnterTimestampMonotonic": "1341479754", "ActiveExitTimestamp": "Sat 2024-01-13 08:52:14 UTC", "ActiveExitTimestampMonotonic": "1341470261", "ActiveState": "active", "After": "basic.target network.target system.slice network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:52:14 UTC", "AssertTimestampMonotonic": "1341473938", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:52:14 UTC", "ConditionTimestampMonotonic": "1341473936", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "15235", "ExecMainStartTimestamp": "Sat 2024-01-13 08:52:14 UTC", "ExecMainStartTimestampMonotonic": "1341474638", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:52:14 UTC] ; stop_time=[n/a] ; pid=15235 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-01-13 08:52:14 UTC", "InactiveEnterTimestampMonotonic": "1341473395", "InactiveExitTimestamp": "Sat 2024-01-13 08:52:14 UTC", "InactiveExitTimestampMonotonic": "1341474687", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "15235", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:52:14 UTC", "WatchdogTimestampMonotonic": "1341479717", "WatchdogUSec": "0" } } TASK [Ensure config file size and counts] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:518 Saturday 13 January 2024 08:52:28 +0000 (0:00:00.220) 0:01:31.858 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml for sut TASK [Get info of /etc/rsyslog.conf] ******************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:2 Saturday 13 January 2024 08:52:28 +0000 (0:00:00.056) 0:01:31.914 ****** ok: [sut] => { "changed": false, "stat": { "atime": 1705135934.4373963, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1705135934.1714053, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263383, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135934.0454097, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "18446744073545516038", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Get file counts in /etc/rsyslog.d] *************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:7 Saturday 13 January 2024 08:52:28 +0000 (0:00:00.138) 0:01:32.053 ****** ok: [sut] => { "changed": false, "examined": 8, "files": [ { "atime": 1705135934.4373963, "ctime": 1705135929.168574, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263378, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135929.0115793, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135932.1144748, "ctime": 1705135930.951514, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263380, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135930.8155184, "nlink": 1, "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 150, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135929.6455579, "ctime": 1705135928.4605978, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263377, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135928.3296022, "nlink": 1, "path": "/etc/rsyslog.d/10-output-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 151, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135927.0166466, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263375, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135926.8736513, "nlink": 1, "path": "/etc/rsyslog.d/10-input-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 118, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135925.0227137, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 262515, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135924.892718, "nlink": 1, "path": "/etc/rsyslog.d/00-global.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 137, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135934.4373963, "ctime": 1705135925.3867016, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263373, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135925.253706, "nlink": 1, "path": "/etc/rsyslog.d/05-common-defaults.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 96, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1705135948.6749165, "ctime": 1705135941.6721525, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263374, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1705135941.5431569, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 562, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } ], "matched": 7, "skipped_paths": {} } MSG: All paths examined TASK [Check rsyslog.conf size (less)] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:13 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.145) 0:01:32.198 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check rsyslog.conf size (more)] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:18 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.039) 0:01:32.238 ****** skipping: [sut] => { "changed": false, "false_condition": "__conf_size == \"more\"", "skip_reason": "Conditional result was False" } TASK [Check file counts in rsyslog.d] ****************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:23 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.018) 0:01:32.257 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check conf files exist] ************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:27 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.020) 0:01:32.277 ****** ok: [sut] => (item=/etc/rsyslog.d/90-input-basics-basic_input.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "stat": { "atime": 1705135948.6749165, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "ctime": 1705135941.6721525, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263374, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1705135941.5431569, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 562, "uid": 0, "version": "18446744073545516104", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_daemon_config_files.yml:35 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.153) 0:01:32.430 ****** skipping: [sut] => { "changed": false, "false_condition": "__check_systemctl_status == \"true\"", "skip_reason": "Conditional result was False" } TASK [Check conf was updated /etc/rsyslog.d/90-input-basics-basic_input.conf] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:527 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.022) 0:01:32.453 ****** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "# GENERATED BASICS CONFIG FILE", "/etc/rsyslog.d/90-input-basics-basic_input.conf" ], "delta": "0:00:00.003192", "end": "2024-01-13 08:52:29.413744", "failed_when_result": false, "rc": 1, "start": "2024-01-13 08:52:29.410552" } MSG: non-zero return code TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:536 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.139) 0:01:32.592 ****** ok: [sut] => { "changed": false, "cmd": [ "systemctl", "status", "rsyslog" ], "delta": "0:00:00.008043", "end": "2024-01-13 08:52:29.555822", "failed_when_result": false, "rc": 0, "start": "2024-01-13 08:52:29.547779" } STDOUT: ● rsyslog.service - System Logging Service Loaded: loaded (/usr/lib/systemd/system/rsyslog.service; enabled; vendor preset: enabled) Active: active (running) since Sat 2024-01-13 08:52:28 UTC; 875ms ago Docs: man:rsyslogd(8) http://www.rsyslog.com/doc/ Main PID: 15855 (rsyslogd) CGroup: /system.slice/rsyslog.service └─15855 /usr/sbin/rsyslogd -n Jan 13 08:52:28 1b334e4e-c870-48b5-b8bd-4669dfca6fe7.testing-farm systemd[1]: Starting System Logging Service... Jan 13 08:52:28 1b334e4e-c870-48b5-b8bd-4669dfca6fe7.testing-farm rsyslogd[15855]: [origin software="rsyslogd" swVersion="8.24.0-57.el7_9.3" x-pid="15855" x-info="http://www.rsyslog.com"] start Jan 13 08:52:28 1b334e4e-c870-48b5-b8bd-4669dfca6fe7.testing-farm rsyslogd[15855]: imfile: no files configured to be monitored - no input will be gathered [v8.24.0-57.el7_9.3 try http://www.rsyslog.com/e/2212 ] Jan 13 08:52:28 1b334e4e-c870-48b5-b8bd-4669dfca6fe7.testing-farm systemd[1]: Started System Logging Service. TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:542 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.148) 0:01:32.741 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:3 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.083) 0:01:32.824 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:13 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.034) 0:01:32.859 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:22 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.043) 0:01:32.903 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:34 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.019) 0:01:32.922 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:44 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.041) 0:01:32.964 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [END TEST CASE 2; Clean up the deployed config] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:545 Saturday 13 January 2024 08:52:29 +0000 (0:00:00.029) 0:01:32.993 ****** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.188) 0:01:33.181 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_inputs | d([])", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.044) 0:01:33.226 ****** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.039) 0:01:33.265 ****** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.036) 0:01:33.301 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.030) 0:01:33.332 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.018) 0:01:33.350 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.057) 0:01:33.408 ****** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.050) 0:01:33.458 ****** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.033) 0:01:33.491 ****** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.030) 0:01:33.521 ****** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.029) 0:01:33.551 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.034) 0:01:33.585 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.039) 0:01:33.625 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.033) 0:01:33.658 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.041) 0:01:33.700 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.041) 0:01:33.741 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.034) 0:01:33.776 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.128) 0:01:33.905 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.041) 0:01:33.946 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.068) 0:01:34.014 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.033) 0:01:34.048 ****** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.039) 0:01:34.087 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 13 January 2024 08:52:30 +0000 (0:00:00.050) 0:01:34.137 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.063) 0:01:34.201 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.038) 0:01:34.239 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.078) 0:01:34.317 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.037) 0:01:34.355 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.052) 0:01:34.408 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.033) 0:01:34.442 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.024) 0:01:34.467 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.016) 0:01:34.483 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.017) 0:01:34.501 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.015) 0:01:34.516 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.037) 0:01:34.554 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.047) 0:01:34.601 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.031) 0:01:34.632 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.101) 0:01:34.734 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.031) 0:01:34.765 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.036) 0:01:34.801 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.055) 0:01:34.856 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.044) 0:01:34.901 ****** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.038) 0:01:34.939 ****** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.040) 0:01:34.980 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.029) 0:01:35.009 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.041) 0:01:35.051 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.025) 0:01:35.076 ****** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 13 January 2024 08:52:31 +0000 (0:00:00.028) 0:01:35.104 ****** ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 13 January 2024 08:52:32 +0000 (0:00:00.063) 0:01:35.168 ****** skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 13 January 2024 08:52:32 +0000 (0:00:00.053) 0:01:35.222 ****** included: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 13 January 2024 08:52:32 +0000 (0:00:00.055) 0:01:35.277 ****** ok: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "iproute" ], "delta": "0:00:00.086439", "end": "2024-01-13 08:52:32.334495", "failed_when_result": false, "item": "iproute", "rc": 0, "start": "2024-01-13 08:52:32.248056" } ok: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "rsyslog" ], "delta": "0:00:00.079876", "end": "2024-01-13 08:52:32.576752", "failed_when_result": false, "item": "rsyslog", "rc": 1, "start": "2024-01-13 08:52:32.496876" } STDOUT: S.5....T. c /etc/rsyslog.conf MSG: non-zero return code TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 13 January 2024 08:52:32 +0000 (0:00:00.482) 0:01:35.760 ****** changed: [sut] => { "changed": true, "changes": { "removed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be erased\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be erased\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nRemoving:\n iproute x86_64 4.11.0-30.el7 @base 1.8 M\n rsyslog x86_64 8.24.0-57.el7_9.3 @updates 1.9 M\n\nTransaction Summary\n================================================================================\nRemove 2 Packages\n\nInstalled size: 3.7 M\nDownloading packages:\nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Erasing : iproute-4.11.0-30.el7.x86_64 1/2 \n Erasing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \nwarning: /etc/rsyslog.conf saved as /etc/rsyslog.conf.rpmsave\n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nRemoved:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 13 January 2024 08:52:34 +0000 (0:00:01.405) 0:01:37.165 ****** changed: [sut] => { "changed": true, "changes": { "installed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nLoading mirror speeds from cached hostfile\n * base: download.cf.centos.org\n * extras: download.cf.centos.org\n * updates: download.cf.centos.org\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be installed\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be installed\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nInstalling:\n iproute x86_64 4.11.0-30.el7 base 805 k\n rsyslog x86_64 8.24.0-57.el7_9.3 updates 622 k\n\nTransaction Summary\n================================================================================\nInstall 2 Packages\n\nTotal download size: 1.4 M\nInstalled size: 3.7 M\nDownloading packages:\n--------------------------------------------------------------------------------\nTotal 4.7 MB/s | 1.4 MB 00:00 \nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Installing : iproute-4.11.0-30.el7.x86_64 1/2 \n Installing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nInstalled:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 13 January 2024 08:52:36 +0000 (0:00:02.655) 0:01:39.821 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 13 January 2024 08:52:36 +0000 (0:00:00.019) 0:01:39.840 ****** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "80.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "80.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "80.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "80.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "80.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "80.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "80.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "80.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "80.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.16.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.102.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.7", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.49" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2023c" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 13 January 2024 08:52:37 +0000 (0:00:01.060) 0:01:40.901 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 13 January 2024 08:52:37 +0000 (0:00:00.031) 0:01:40.932 ****** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 13 January 2024 08:52:37 +0000 (0:00:00.023) 0:01:40.956 ****** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 13 January 2024 08:52:37 +0000 (0:00:00.021) 0:01:40.977 ****** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 13 January 2024 08:52:37 +0000 (0:00:00.028) 0:01:41.006 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.152) 0:01:41.158 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.149) 0:01:41.308 ****** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.147) 0:01:41.456 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.070) 0:01:41.527 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.035) 0:01:41.562 ****** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.033) 0:01:41.595 ****** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.036) 0:01:41.632 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.021) 0:01:41.654 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.031) 0:01:41.685 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.017) 0:01:41.703 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.020) 0:01:41.723 ****** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\nfor conf in $( ls \"/etc/rsyslog.d\" ); do\n rstr=$( rpm -qf \"/etc/rsyslog.d/$conf\" 2>&1 || : )\n if [[ \"$rstr\" == *\"not owned by any package\"* ]]; then\n echo \"/etc/rsyslog.d/$conf\"\n fi\ndone\n", "delta": "0:00:00.246822", "end": "2024-01-13 08:52:38.935885", "failed_when_result": false, "rc": 0, "start": "2024-01-13 08:52:38.689063" } STDOUT: /etc/rsyslog.d/00-global.conf /etc/rsyslog.d/05-common-defaults.conf /etc/rsyslog.d/10-input-files-modules.conf /etc/rsyslog.d/10-output-files-modules.conf /etc/rsyslog.d/10-output-forwards-modules.conf /etc/rsyslog.d/30-output-files-files_test0.conf /etc/rsyslog.d/90-input-basics-basic_input.conf TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 13 January 2024 08:52:38 +0000 (0:00:00.388) 0:01:42.112 ****** changed: [sut] => (item=/etc/rsyslog.d/05-common-defaults.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/05-common-defaults.conf", "path": "/etc/rsyslog.d/05-common-defaults.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-files-modules.conf", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/00-global.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/00-global.conf", "path": "/etc/rsyslog.d/00-global.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-basics-basic_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-forwards-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-forwards-modules.conf", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-input-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-input-files-modules.conf", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 13 January 2024 08:52:39 +0000 (0:00:01.017) 0:01:43.130 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.028) 0:01:43.158 ****** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.037) 0:01:43.195 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.112) 0:01:43.308 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.042) 0:01:43.350 ****** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.037) 0:01:43.387 ****** changed: [sut] => { "changed": true, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestampMonotonic": "0", "ActiveExitTimestampMonotonic": "0", "ActiveState": "inactive", "After": "system.slice network.target basic.target network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "no", "AssertTimestampMonotonic": "0", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "no", "ConditionTimestampMonotonic": "0", "Conflicts": "shutdown.target", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "0", "ExecMainStartTimestampMonotonic": "0", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestampMonotonic": "0", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "0", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "dead", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestampMonotonic": "0", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.260) 0:01:43.648 ****** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.028) 0:01:43.677 ****** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.028) 0:01:43.705 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.032) 0:01:43.738 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.028) 0:01:43.767 ****** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [Cleaning up __testfile__] ************************************************ task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:555 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.051) 0:01:43.818 ****** changed: [sut] => { "changed": true, "path": "/tmp/__testfile__", "state": "absent" } TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:560 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.145) 0:01:43.963 ****** included: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:3 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.061) 0:01:44.025 ****** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:13 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.032) 0:01:44.058 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:22 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.017) 0:01:44.075 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:34 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.016) 0:01:44.092 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tasks/check_firewall_selinux.yml:44 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.023) 0:01:44.116 ****** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:565 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.015) 0:01:44.131 ****** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 13 January 2024 08:52:40 +0000 (0:00:00.014) 0:01:44.146 ****** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-01-13 08:52:40 UTC", "ActiveEnterTimestampMonotonic": "1367497353", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "system.slice network.target basic.target network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-01-13 08:52:40 UTC", "AssertTimestampMonotonic": "1367491555", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-01-13 08:52:40 UTC", "ConditionTimestampMonotonic": "1367491553", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "16084", "ExecMainStartTimestamp": "Sat 2024-01-13 08:52:40 UTC", "ExecMainStartTimestampMonotonic": "1367492198", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-01-13 08:52:40 UTC] ; stop_time=[n/a] ; pid=16084 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-01-13 08:52:40 UTC", "InactiveExitTimestampMonotonic": "1367492249", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "16084", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-01-13 08:52:40 UTC", "WatchdogTimestampMonotonic": "1367497312", "WatchdogUSec": "0" } } PLAY RECAP ********************************************************************* sut : ok=442 changed=61 unreachable=0 failed=0 skipped=493 rescued=0 ignored=0 Saturday 13 January 2024 08:52:41 +0000 (0:00:00.318) 0:01:44.465 ****** =============================================================================== fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages --- 4.41s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent --- 4.15s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages --- 2.66s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages --- 2.65s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 1.55s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state --- 1.44s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state --- 1.41s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent --- 1.41s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent --- 1.40s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 1.06s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 1.04s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state --- 1.02s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 0.97s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 0.97s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 0.95s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 0.94s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d --- 0.92s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages --- 0.84s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Gathering Facts --------------------------------------------------------- 0.83s /WORKDIR/git-weekly-cirfhavtyt/tests/tests_combination.yml:2 ------------------ fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d --- 0.81s /WORKDIR/git-weekly-cirfhavtyt/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 ---^---^---^---^---^--- # STDERR: ---v---v---v---v---v--- [DEPRECATION WARNING]: ANSIBLE_COLLECTIONS_PATHS option, does not fit var naming standard, use the singular form ANSIBLE_COLLECTIONS_PATH instead. This feature will be removed from ansible-core in version 2.19. Deprecation warnings can be disabled by setting deprecation_warnings=False in ansible.cfg. ---^---^---^---^---^---